打印

VHDL process 里不能两个event 问题求教

[复制链接]
2412|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
MakeBetter|  楼主 | 2011-11-14 09:35 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
大家好!
有一个计数:Count,一个时钟 Clk,一个信号输入 Ev。
现在要求:当Ev从高变为低或从低变为高时,Count清0,而其它时候,当Clk上升沿时,Count计数。
我的写法是:
process(Clk,Ev)
begin
    if Ev'event then
         Count <= (others => '0');
    else
        if Clk'event and Clk = '1' then
            Count <= Count +1;
        end if;
    end if;
end prodess;
因为一个process 里不能出现两个event检测,且Count只能在一个process里被改变,所以出现了矛盾。所以以上写的不能通过,不知道大家有什么好办法?

相关帖子

沙发
MakeBetter|  楼主 | 2011-11-14 16:59 | 只看该作者
高手有没有啊?你们遇到过此种情况吗?你们是如何避过这个问题的啊?

使用特权

评论回复
板凳
MakeBetter|  楼主 | 2011-11-14 17:01 | 只看该作者
有人吱声没?吱声也给分的啊。

使用特权

评论回复
地板
MakeBetter|  楼主 | 2011-11-14 23:47 | 只看该作者
失望。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

61

主题

506

帖子

0

粉丝