打印

请问FPGA实现顺序语句的机制是什么呢?

[复制链接]
2568|13
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
chinaitboy|  楼主 | 2011-11-26 19:58 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
sxhhhjicbb| | 2011-11-26 21:01 | 只看该作者
一种是阻塞式赋值实现,另一种是状态机实现.

使用特权

评论回复
板凳
fangkunwei| | 2011-11-26 22:00 | 只看该作者
楼上说的阻塞式赋值在仿真的时候起作用,在烧入到FPGA器件中时就不作用了。
在FPGA中要实现顺序我一般是用状态机,简单的顺序关系用信号量进行标识。

使用特权

评论回复
地板
sxhhhjicbb| | 2011-11-27 21:19 | 只看该作者
阻塞式赋值烧入到FPGA器件中,也起作用,,,signalstap看到的应该是实际的吧,,,,阻塞式赋值会很快降低系统运行的频率,所以一般都不用而已.

使用特权

评论回复
5
Zx阿旭| | 2011-11-28 09:21 | 只看该作者
VHDL里无阻塞与非阻塞这个概念吧!verilog才有。VHDL里所说的顺序语句的确实相对并行语句的,指的是仿真执行时,由于书写顺序而造成有个δ延时。而并行语句没有这个δ延时。
verilog里面就不一样了。

使用特权

评论回复
6
xiaoyuan_ly| | 2011-11-28 15:37 | 只看该作者
阻塞式赋值烧入到FPGA器件中,也起作用,,,signalstap看到的应该是实际的吧,,,,阻塞式赋值会很快降低系统运行的频率,所以一般都不用而已.
sxhhhjicbb 发表于 2011-11-27 21:19


阻塞式赋值烧入到FPGA器件中????????????

使用特权

评论回复
7
xiaoyuan_ly| | 2011-11-28 15:39 | 只看该作者
sxhhhjicbb,能具体解释下吗??!!  我理解的和2楼一样。 怎么理解??

使用特权

评论回复
8
xiaoyuan_ly| | 2011-11-28 15:40 | 只看该作者
说错了,是3楼。。。 呵呵,2楼是你。。

使用特权

评论回复
9
chinaitboy|  楼主 | 2011-11-28 19:48 | 只看该作者
我想知道硬件是通过什么方式来实现顺序语句的?

使用特权

评论回复
10
mr.king| | 2011-11-29 11:07 | 只看该作者
我想知道硬件是通过什么方式来实现顺序语句的?
chinaitboy 发表于 2011-11-28 19:48

  你贴段你说的顺序代码再讨论吧

使用特权

评论回复
11
utopiaworld| | 2011-11-29 18:57 | 只看该作者
顺序的 其实就是组合逻辑

使用特权

评论回复
12
yxs888| | 2011-11-30 18:28 | 只看该作者
FPGA 用来实现顺序控制一般用状态机来做,也可用计数器来做。

使用特权

评论回复
13
liyafeng007| | 2011-12-20 09:55 | 只看该作者
12楼正解

使用特权

评论回复
14
and| | 2011-12-20 21:06 | 只看该作者
编译器会把顺序代码编译成一个逻辑表达式,就是组合逻辑了。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

228

主题

729

帖子

1

粉丝