打印

求教有关FPGA的内部RAM~

[复制链接]
2732|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
qrswll|  楼主 | 2011-11-27 15:00 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1.请问FPGA的内部RAM的大小是可以通过Verilog和VHDL语言编程来自己设定的么?
2.如果把FPGA当做外设连接在另一个主处理器上,寻址的大小也是根据这个FPGA的内部RAM的大小来确定的么(即连接的地址线根数)?
新手求教......请各位前辈指导...

相关帖子

沙发
utopiaworld| | 2011-11-29 19:01 | 只看该作者
RAM 是里面固定的资源,一般可以通过工具生成

使用特权

评论回复
板凳
qrswll|  楼主 | 2011-11-29 20:02 | 只看该作者
RAM 是里面固定的资源,一般可以通过工具生成
utopiaworld 发表于 2011-11-29 19:01
感谢前辈回复~
意思就是说~FPGA内部的RAM的大小是根据HDL程序设计的吧?如果把这个FPGA当做一个外设,他的存储空间大小就是指这个HDL语言设计的内部RAM的大小对么?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

196

帖子

1

粉丝