打印
[FPGA]

【Modelsim常见问题】Error: (vsim-3170) Could not find

[复制链接]
930|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zgmxs|  楼主 | 2020-2-13 20:27 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
**Error: (vsim-3170) Could not find '……simulation/modelsim/rtl_work.HEX4_tb'.
Error loading design



问题原因
testbench文件名与其中module 后紧跟的名称不匹配,如下图文件名为HEX4_tb,而实际文件中的module名为HEX_tb:



而在设置testbench链接设置时,却将Testbench name和Top level module in test bench的名字都设置为了HEX4_tb,导致modelsim仿真时无法找到时找toplevel (HEX4_tb),因为testbench中命名的是HEX_tb,而通过脚本告诉modelsim的时候却是告诉的HEX4_tb,当然找不到。



解决方法
方法1:将Testbench中的module名改为HEX4_tb,仿真即可通过,如下图所示:



方法2:在quartus 的testbench设置部分将Toplevel module in test bench部分设置为testbench中命名的名字,即不更改testbench中的module名字,让其依旧保持为HEX_tb,而在设置部分如下设置:





然后运行仿真,也可以正常进行仿真。如下图:



使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

104

主题

104

帖子

3

粉丝