打印
[FPGA]

【工程源码】基于FPGA的图像处理之行缓存(linebuffer)的设计

[复制链接]
463|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zgmxs|  楼主 | 2020-2-17 19:46 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
背景知识
    在FPGA数字图像处理中,行缓存的使用非常频繁,例如我们需要图像矩阵操作的时候就需要进行缓存,例如图像的均值滤波,中值滤波,高斯滤波以及sobel边缘查找等都需要行缓存设计。这里的重要性就不在赘述。
2 FPGA实现



如图1所示,我们要设计n行同时输出,就串联n行。Line_buffer的大小设置由图像显示行的大小(图像宽度)决定。例如480*272 (480)。下面我们将采用更加灵活的方法来设计行缓存(linebuffer),使用fifo来设计。



如图2所示,我们设计了3行行缓存,总共使用了三个fifo。
FPGA源码:
1  line_buffer_top模块




如果我们需要缓存5行,7行或更多只需要增加i。这样使得我们的设计更加容易移植和维护。
2 line_buffer 模块




如果需要移植到其他FPGA芯片我们只需要将FIFO替换,或者修改scfifo_component.intended_device_family = "Cyclone IV E"为相应的器件。
RTL viewer



如图3所示,整个linebuffer由3个line_buffer组成,最终输出3行缓存数据。
仿真顶层:





仿真结果:




使用特权

评论回复

相关帖子

沙发
xyz549040622| | 2020-2-17 21:09 | 只看该作者
支持下,谢谢分享!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

104

主题

104

帖子

3

粉丝