打印

VHDL中的定义疑惑

[复制链接]
1442|14
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
michelle123|  楼主 | 2011-12-3 11:52 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
opple| | 2011-12-3 21:04 | 只看该作者
新手路过,

使用特权

评论回复
板凳
opple| | 2011-12-3 21:04 | 只看该作者
希望高手解答

使用特权

评论回复
地板
tikelu| | 2011-12-3 21:13 | 只看该作者
呃 我也没遇到过啊

使用特权

评论回复
5
tikelu| | 2011-12-3 21:13 | 只看该作者
郁闷中

使用特权

评论回复
6
GoldSunMonkey| | 2011-12-3 23:11 | 只看该作者
1# michelle123

使用特权

评论回复
7
GoldSunMonkey| | 2011-12-3 23:11 | 只看该作者
呃 我也没遇到过啊
tikelu 发表于 2011-12-3 21:13

这个还需要遇到?

使用特权

评论回复
8
GoldSunMonkey| | 2011-12-3 23:12 | 只看该作者
郁闷中
tikelu 发表于 2011-12-3 21:13

没遇到应该很高兴啊

使用特权

评论回复
9
明空| | 2011-12-3 23:19 | 只看该作者
signal,信号,可在所有process中引用
variable,变量,一般只在一个process中引用
shared variable,这个没用过
constant:常量,没啥好说的

使用特权

评论回复
10
GoldSunMonkey| | 2011-12-3 23:29 | 只看该作者
唉,还是我回答吧,不回答别人问题,我睡不着。

constant:
规则:可以出现在实体、结构体、程序包、块、进程和子程序。常数在程序前部定义,且一旦被赋值就不能再改变。
signal  
规则:信号是在结构体(ARCHITECTURE)、程序包(PACKAGE)和实体中说明的全局量。
variable,shared variable
规则:只能在进程(PROCESS)、函数(FUNCTION)和过程(PROCEDURE)中说明和使用的局域量

使用特权

评论回复
11
GoldSunMonkey| | 2011-12-3 23:30 | 只看该作者
:L早知道你回答了,我就不回答了。

使用特权

评论回复
12
明空| | 2011-12-3 23:31 | 只看该作者
;P

使用特权

评论回复
13
GoldSunMonkey| | 2011-12-3 23:34 | 只看该作者
;P

使用特权

评论回复
14
ooljo| | 2011-12-8 06:53 | 只看该作者
版主真敬业

使用特权

评论回复
15
ooljo| | 2011-12-8 06:53 | 只看该作者
赞个

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

42

帖子

0

粉丝