打印

vhdl 中generic语句的用法

[复制链接]
9372|10
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
C2:i2cs_rx
   generic map(
  WR       : std_logic:='0';   --write
  DADDR  : std_logic_vector(6 downto 0) := "0010001";     -- 11h (22h) device address
  ADDR  : std_logic_vector(7 downto 0) := "00000000"     -- 00h     sub address  
);
     port map(
            CLB => CLB,
      SCL => SCL,
      SDA => SDA
           );

以上是代码编译的时候,语法有错误,请帮忙指正。
编译错误看附件。谢谢!

未命名.JPG (35.35 KB )

未命名.JPG

相关帖子

沙发
shihun009| | 2011-12-8 10:37 | 只看该作者
generic是参数映射,你这用法貌似错了

使用特权

评论回复
板凳
hlhfootbal|  楼主 | 2011-12-8 18:02 | 只看该作者
怎么用呢?

使用特权

评论回复
地板
xibeilanghf| | 2013-3-7 16:42 | 只看该作者
port里面的语句结束后应该还是“;”而不是“,”

使用特权

评论回复
5
GoldSunMonkey| | 2013-3-8 21:31 | 只看该作者
xibeilanghf 发表于 2013-3-7 16:42
port里面的语句结束后应该还是“;”而不是“,”

希望能把解决方案贴出来啊

使用特权

评论回复
6
lxAPP| | 2013-3-8 21:54 | 只看该作者
GoldSunMonkey 发表于 2013-3-8 21:31
希望能把解决方案贴出来啊

期盼啊

使用特权

评论回复
7
GoldSunMonkey| | 2013-3-9 21:58 | 只看该作者
lxAPP 发表于 2013-3-8 21:54
期盼啊

必须的

使用特权

评论回复
8
xuehua230| | 2013-3-9 22:13 | 只看该作者
GoldSunMonkey 发表于 2013-3-9 21:58
必须的

结果才是论坛分享的所在啊

使用特权

评论回复
9
xibeilanghf| | 2013-3-20 14:06 | 只看该作者
port map
        {
            CLB => CLB;
            SCL => SCL;
            SDA => SDA
          };

使用特权

评论回复
10
ococ| | 2013-3-21 09:11 | 只看该作者
本帖最后由 ococ 于 2013-3-21 09:12 编辑

两年前的帖子了,呵呵。
应该是这样映射:

C2:i2cs_rx
   generic map(
  WR          =>'0',   --write
  DADDR  => "0010001",     -- 11h (22h) device address
  ADDR    => "00000000"     -- 00h     sub address  
)
     port map(
      CLB => CLB,
      SCL => SCL,
      SDA => SDA
           );

使用特权

评论回复
11
GoldSunMonkey| | 2013-3-21 21:36 | 只看该作者
ococ 发表于 2013-3-21 09:11
两年前的帖子了,呵呵。
应该是这样映射:

感谢啊

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

133

主题

417

帖子

1

粉丝