C2:i2cs_rx
generic map(
WR : std_logic:='0'; --write
DADDR : std_logic_vector(6 downto 0) := "0010001"; -- 11h (22h) device address
ADDR : std_logic_vector(7 downto 0) := "00000000" -- 00h sub address
);
port map(
CLB => CLB,
SCL => SCL,
SDA => SDA
);
以上是代码编译的时候,语法有错误,请帮忙指正。
编译错误看附件。谢谢! |