打印

FPGA芯片加密(DS28E01)源码

[复制链接]
495|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
buddha686|  楼主 | 2020-7-5 21:55 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 buddha686 于 2020-7-5 22:15 编辑

FPGA芯片加密(DS28E01)源码提供,Verilog代码开发,包含了SHA算法,示例工程,文档说明。Verilog编写,不受FPGA器件影响,通用性比IP核强。成熟代码,已经应用于多个项目多年。有需要的加我QQ:344969122

使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

5

帖子

0

粉丝