打印
[FPGA]

多路输入信号相对同步问题

[复制链接]
320|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
XQSir660|  楼主 | 2020-8-9 14:21 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
      最近FPGA设计中有个疑惑,不知道大家设计中有没有遇到过。
现在FPGA有十几路高定时精度的输入信号,如何保证他们到达内部触发器的时刻相对同步呢(就是时间差是准确的),避免每次布线不一致的影响。期望相对时差能做到1ns以内,如何做约束呢

使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

7

主题

33

帖子

0

粉丝