打印

紧急请教CPLD导致脉冲误触发问题

[复制链接]
1403|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
本帖最后由 songball 于 2012-1-15 22:53 编辑

外部中断脉冲宽2ms,周期20ms,脉冲经CPLD直通到DSP,上升沿触发
脉冲信号飞线不经CPLD不会误触发,而脉冲信号经CPLD直通或与非门都会导致误触发
请教CPLD如何处理逻辑才会不误触发

相关帖子

沙发
songball|  楼主 | 2012-1-15 22:41 | 只看该作者
脉冲信号经CPLD是否应用触发器

使用特权

评论回复
板凳
Wayner| | 2012-1-18 15:44 | 只看该作者
是啊,要看CPLD里面用了些什么元件

使用特权

评论回复
地板
Wayner| | 2012-1-18 15:45 | 只看该作者
还有现在CPLD有3.3V的,还有5V的

使用特权

评论回复
5
anhuihbo| | 2012-4-21 23:13 | 只看该作者
CPLD做滤波处理,延时处理,就不会有误触发毛刺了,滑窗。。。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

7

帖子

0

粉丝