打印
[Verilog HDL]

Verilog数字系统设计教程

[复制链接]
975|40
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2020-12-24 21:38 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
Verilog数字系统设计教程

Verilog数字系统设计教程.pdf (23.64 MB)
绪论
第一部分 Verilog数字设计基础
第1章 Verilog的基本知识
1.1 硬件描述语言HDL
1.2 Verilog HDL的历史
1.2.1 什么是Verilog HDL
1.2.2 Verilog HDL的产生及发展
1.3 Verilog HDL和VHDL的比较
1.4 Verilog的应用情况和适用的设计
1.5 采用Verilog HDL设计复杂数字电路的优点
1.5.1 传统设计方法——电路原理图输入法
1.5.2 Verilog HDL设计法与传统的电路原理图输入法的比较
1.5.3 Verilog的标准化与软核的重用
1.5.4 软核、固核和硬核的概念及其重用
1.6 采用硬件描述语言(Verilog HDL)的设计流程简介
1.6.1 自顶向下(Top_Down)设计的基本概念
1.6.2 层次管理的基本概念
1.6.3 具体模块的设计编译和仿真的过程
1.6.4 具体工艺器件的优化、映像和布局布线
小结
思考题
第2章 Verilog语法的基本概念
概述
2.1 Verilog模块的基本概念
2.2 Verilog用于模块的测试
小结
思考题
第3章 模块的结构、数据类型、变量和基本运算符号
概述
3.1 模块的结构
3.1.1 模块的端口定义
3.1.2 模块内容
3.1.3 理解要点
3.1.4 要点总结
3.2 数据类型及其常量和变量
3.2.1 常量
3.2.2 变量
3.3 运算符及表达式
3.3.1 基本的算术运算符
3.3.2 位运算符
小结
思考题
第4章 运算符、赋值语句和结构说明语句
概述
4.1 逻辑运算符
4.2 关系运算符
4.3 等式运算符
4.4 移位运算符
4.5 位拼接运算符
4.6 缩减运算符
4.7 优先级别
4.8 关键词
4.9 赋值语句和块语句
4.9.1 赋值语句
4.9.2 块语句
小结
思考题
第5章 条件语句、循环语句、块语句与生成语句
概述
5.1 条件语句(if else语句)
5.2 case语句
5.3 条件语句的语法
5.4 多路分支语句

使用特权

评论回复

相关帖子

沙发
zerorobert| | 2020-12-31 20:23 | 只看该作者
楼主太好了,非常感谢            

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:49 回复TA
赞一个 
板凳
plsbackup| | 2020-12-31 20:23 | 只看该作者
内容还是非常丰富的                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:49 回复TA
赞一个 
地板
wilhelmina2| | 2020-12-31 20:24 | 只看该作者
非常感谢楼主分享                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:50 回复TA
赞一个 
5
olivem55arlowe| | 2020-12-31 20:24 | 只看该作者
楼主好人,资料很好。谢谢楼主!                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:50 回复TA
赞一个 
6
rosemoore| | 2020-12-31 20:24 | 只看该作者
共享的资料比较详细  谢谢                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:50 回复TA
赞一个 
7
houjiakai| | 2020-12-31 20:24 | 只看该作者
资料 好好收藏一下                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:50 回复TA
赞一个 
8
touser| | 2020-12-31 20:24 | 只看该作者
以后多交流交流                  

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:50 回复TA
赞一个 
9
sheflynn| | 2020-12-31 20:24 | 只看该作者
感谢分享,提供的例程很实用                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:50 回复TA
赞一个 
10
wengh2016| | 2020-12-31 20:24 | 只看该作者
资料很实用,谢谢楼主!                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:50 回复TA
赞一个 
11
myiclife| | 2020-12-31 20:24 | 只看该作者
楼主好人,资料很好。谢谢楼主!                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:51 回复TA
赞一个 
12
mmbs| | 2020-12-31 20:25 | 只看该作者
  应用还是很广泛的                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:51 回复TA
赞一个 
13
cemaj| | 2020-12-31 20:25 | 只看该作者
有时间需要好好看看   不错                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:51 回复TA
赞一个 
14
alvpeg| | 2020-12-31 20:25 | 只看该作者
内容还是非常丰富的                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:51 回复TA
赞一个 
15
1988020566| | 2020-12-31 20:25 | 只看该作者
谢谢lz分享,很有用                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:51 回复TA
赞一个 
16
uptown| | 2020-12-31 20:25 | 只看该作者
资料的确是很全面                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:51 回复TA
赞一个 
17
pklong| | 2020-12-31 20:25 | 只看该作者
相当全的资料,很适合初学者                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:52 回复TA
赞一个 
18
uiint| | 2020-12-31 20:25 | 只看该作者
资料还是相当全面的                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:52 回复TA
赞一个 
19
xiaoyaozt| | 2020-12-31 20:25 | 只看该作者
资料够全的,多谢分享                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:52 回复TA
赞一个 
20
mollylawrence| | 2020-12-31 20:26 | 只看该作者
感谢分享,提供的例程很实用                                 

使用特权

评论回复
评论
gaochy1126 2020-12-31 20:52 回复TA
赞一个 
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

24

粉丝