打印
[FPGA]

从算法设计到硬件逻辑的实现(夏宇闻).rar

[复制链接]
4646|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
雷北城|  楼主 | 2021-1-19 09:52 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
weict125| | 2021-1-19 12:36 | 只看该作者
谢谢分享

使用特权

评论回复
板凳
superstar_gu| | 2021-1-20 16:49 | 只看该作者
虽然我买了本书,但是下个电子版本,查起来方便。谢谢分享!

使用特权

评论回复
地板
zlf1208| | 2021-1-21 12:36 | 只看该作者
楼主您好,有没有专门用Verilog2005语法写的书,我找遍中外都没有找到,难道现在不用Verilog开发FPGA了?

使用特权

评论回复
5
cqsf| | 2021-1-21 17:40 | 只看该作者

谢谢分享

使用特权

评论回复
6
hlzhou| | 2021-7-6 09:36 | 只看该作者

感谢分享

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

408

主题

698

帖子

0

粉丝