打印
[Verilog HDL]

found ‘0‘ definitions of operator “+“

[复制链接]
477|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2021-3-25 21:14 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
gaochy1126|  楼主 | 2021-3-25 21:17 | 只看该作者
can't determine definition of operator ""/"" -- found 0 possible definitions
要么没有除法,要么你没调用包含除法的库

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

25

粉丝