[Quartus]

Quartusii 链接Altera-Modelsim进行功能仿真

[复制链接]
430|0
手机看帖
扫描二维码
随时随地手机跟帖
gaochy1126|  楼主 | 2021-3-28 14:24 | 显示全部楼层 |阅读模式
下文介绍利用Altera-Modelsim来进行功能仿真的步骤
quartus ii 版本:17.0
altera-modelsim版本:ModelSim - Intel FPGA Starter Edition 10.5b (Quartus Prime 17.0)
1、首先要设置altera-modelsim的执行路径,即指定altera-modelsim的安装路径,打开Quartusii
(1)Tools ->Option
26569395b2ad8a47f101ebfe9947ac6a.png
(2)点击EDA Tool Options, 出现左边的界面,点击红框2 里的 “…”,选择altra-modelsim的安装路径,设置好如下图。点击 OK 退出。
aaf1e2fa4ee26199bacf0660eaee5712.png
2、新建工程,本文做一个简单的位宽为4的累加器,在时钟的上升沿加1。工程名为add,被测试文件名为add。
bc97526c107c9b1d67d6ae6f56e352ae.png
3、设置工程仿真软件
(1)Assignments->Settings
216e7c8f77f0f00fa5bc1c4b309aba45.png
(2)点击红框1处的 Simulation 出现右边的界面。把红框2,3,4,设置成跟下图一样。最后点击下面的Apply 和OK按钮退出设置。
红框2 是设置所用的仿真工具 名称 ,红框3是设置工程编写用的HDL,和时间刻度。红框4是本地仿真链接设置,这里先选择none ,才能在工程下生成仿真simulation文件夹。
a8b45cd87b7eb9f78c067943f794a2f3.png
4、综合工程,点击Start Analysis &Synthesis 编译通过
ce8ee4a4a407dbd49ae00f552ce94e8a.png
5、编写仿真文件
有两种方法生成仿真文件:
(1)直接建立 xxx.v文件
(2)自动生成工程仿真文件
点击 Processing->Start ->Start Test bench Template Writer,自动生成仿真文件 后缀是 .vt,注意:这里只是一个针对本工程的仿真文件模板,里面的具体测试内容还需要根据实际作修改。
Test bench 的默认路径在:项目目录\simulation\modelsim\项目名.vt(该目录跟3.2 红框3处设置的 output directory 里的路径一致)。
52ecd621c7120f88e9c6729df26e2949.png
6、将仿真文件添加到工程中
(1)Assigments ->Settings
740483885845b0d3f3d9dcb3c7873a2b.png
(2)进入下面的界面,在红框里 选择Compile test bench。
62c3849c2c9d97877190a4611b76d569.png
(3)点击 Test Benches… 按钮,进入下面的界面
4f7994dd0d00518c328fa85af304c44c.png
(4)点击 NEW 按钮,进入下面的窗口,设置 Test bench name 测试文件的名称:add,Top level moduel in test bench :add_vlg_tst (可以用notepad++打开测试文件查看测试文件的顶层模块名称),填写好如下图
2a3dcdcee7bd1ffee1d9baa1a3aa7658.png
(5) 添加测试文件
点击下图红框里的 … 选择测试文件add.vt ,然后再点击add 按钮,将其添加。添加后如下面的第二张图所示。点击 OK 退出。
2eff2768039ced7ea67fe03f95ff8d12.png


c57b3ea9d5f9e02d8242165200895fcf.png
7、运行仿真
依次选择Tools ->Run Simulation Tool ->单击RTL Simulation 进入RTL仿真
4003e901c45d061e1c72b1cffde70b1e.png
接着Quartus ii 会自动运行altera-modelsim 软件,出现下图的两个窗口,一个modelsim窗口,一个波形窗口。
b89de414bc54c0ac89fc8810105bf520.png
来张单独的波形窗口截图,从图上可以看出 out[3:0]在每个时钟的上升沿进行循环计数,至此仿真流程结束。
f9fa563180aa51714bf8413d4efc9d85.png 本文链接:https://blog.csdn.net/huan09900990/article/details/78327289



使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

24

粉丝