打印

Xilinx FPGA开发环境的配置

[复制链接]
958|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ooljo|  楼主 | 2012-3-9 15:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
一、配置Modelsim ISE的Xilinx的仿真库
  1、编译仿真库:
  A、先将Modelsim安装目录C=Modeltech_6.2b下面的modelsim.ini改成存档格式(取消只读模式);
  B、在DOS环境中,进入Xilinx的根目录,然后依次进入bin,nt目录;
  C、compxlib -s mti_se -f all -l all -o C:Modeltech_6.2bxilinx_libs。
  注意:需要根据你安装的modelsim目录更改C:Modeltech_6.2b

Xilinx_FPGA_开发环境的配置.pdf

420.63 KB

相关帖子

沙发
ooljo|  楼主 | 2012-3-9 15:18 | 只看该作者
希望对大家有所帮助 来自百度

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

653

帖子

1

粉丝