打印

Xilinx FPGA开发环境的配置

[复制链接]
1166|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yeueodt|  楼主 | 2012-3-11 19:59 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
配置Modelsim ISE的Xilinx的仿真库  1、编译仿真库:
  A、先将Modelsim安装目录C=Modeltech_6.2b下面的modelsim.ini改成存档格式(取消只读模式);
  B、在DOS环境中,进入Xilinx的根目录,然后依次进入bin,nt目录;
  C、compxlib -s mti_se -f all -l all -o C:Modeltech_6.2bxilinx_libs。
  注意:需要根据你安装的modelsim目录更改C:Modeltech_6.2b

Xilinx_FPGA_开发环境的配置.pdf

420.63 KB

相关帖子

沙发
yeueodt|  楼主 | 2012-3-11 20:00 | 只看该作者
我觉得这份资料 应该大家会喜欢的

使用特权

评论回复
板凳
hihu| | 2012-3-11 20:21 | 只看该作者
下载了 这个我好像看过

使用特权

评论回复
地板
wilson_weng| | 2012-3-18 07:23 | 只看该作者
喜欢收下了下拉

使用特权

评论回复
5
litgb| | 2012-3-24 10:03 | 只看该作者
嗯,楼主讲的不错,            
分享的资料很好           
楼主辛苦了了

使用特权

评论回复
6
daisyly| | 2012-3-31 09:09 | 只看该作者
嗯,喜欢,谢谢楼主分享。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

87

帖子

0

粉丝