打印

请教FPGA除法运算

[复制链接]
2894|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wolfskin|  楼主 | 2021-8-25 14:21 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
想在FPGA中实现一个数据除法运算,例如数据455400859911164÷1000000=455400859.911164,这个在FPGA中能实现吗?该如何实现?请假各位!!!

使用特权

评论回复
评论
zlf1208 2021-8-26 10:33 回复TA
肯定是可以实现的,不过很复杂,不是三言两语能够说清楚的,你需要设计一个类似CPU中的算术运算器,还要确定使用定点算术运算还是浮点算术运算,以及字长等,可能要参考一些计算机组成原理和结构方面的书籍。 

相关帖子

板凳
zlf1208| | 2021-8-26 11:12 | 只看该作者
本帖最后由 zlf1208 于 2021-8-26 11:21 编辑

参考资料

Verilog Book.part6.rar

1.28 MB

Verilog Book.part5.rar

9 MB

Verilog Book.part4.rar

9 MB

Verilog Book.part3.rar

9 MB

Verilog Book.part2.rar

9 MB

Verilog Book.part1.rar

9 MB

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

88

主题

206

帖子

0

粉丝