[FPGA]

【银杏科技ARM+FPGA双核心应用】SL2S-25E系列九——软件复位

[复制链接]
1328|1
手机看帖
扫描二维码
随时随地手机跟帖
heart蓝色CD|  楼主 | 2022-4-15 14:36 | 显示全部楼层 |阅读模式
一、爆靓照
360826259118413641.png
二、实验简介
       复位指的是在可编程器件、可编程控制器等电子设备中,为防止程序跑飞或者保证多个子程序同时运行,在程序中手动或者自动的方法使软硬件恢复到一个特定的工作节点的过程,而这个手动或自动控制的信号就是复位信号。
       常用的复位方式有三种:
1、硬件复位:复位信号接一个拨码开关或者按键等。
2、控制芯片:控制芯片产生复位脉冲。
3、电源芯片:由电源芯片在上电的时候产生的复位信号。
      在 FPGA 程序中,一个复杂的功能由多个子模块共同完成,为了保证各个子模块同时运行,需要一个全局复位信号;如果没有设计复位按键,没有专用的电源或者控制芯片的时候,为了保证 FPGA 从一个稳定的状态开始运行,这里可以采用上电“软”复位的操作方式,既通过通过计数器计数,当计数到一定值的时候,产生一个脉冲信号作为系统的复位信号。
       其设计原理时序如图所示:
62762625911908cf6d.png
       如图所示,其复位原理是:将复位信号作为程序控制的触发信号,在复位信号(rst_n)下降沿处对控制总线进行复位,将其赋值为复位值,有效复位信号(低电平)结束后,可根据需要对控制总线重新进行赋值。
       想实现这一设计,在 FPGA 上电后,对时钟信号进行计数,计数到一定值的时候,将 rst_n信号拉低(或者拉高),持续一段时间,然后拉高(或者拉低),产生一个低(高)电平的脉冲信号,以此脉冲信号作为 FPGA 内部的复位信号。
三、资料包下载(实验源码+详细文档说明)
1、源代码
游客,如果您要查看本帖隐藏内容请回复

2、实验指导书
iCore3L实验指导书九.pdf (682.06 KB)

使用特权

评论回复

相关帖子

sjl666518| | 2023-3-22 16:35 | 显示全部楼层
谢谢分享

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

92

主题

223

帖子

25

粉丝