打印
[FPGA]

EDA软件国内外发展情况调研

[复制链接]
3719|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
本帖最后由 爱电子的哥哥 于 2022-4-30 10:00 编辑

一、什么是EDA软件?
EDA是电子设计自动化(Electronic Design Automation)的简称,是广义的计算机辅助设计的一种。电子设计师可以使用EDA软件设计电子系统,完成电子产品从电路设计,性能分析到IC版图或PCB版图设计的全过程。
二、当前EDA行业状况
EDA行业产值虽然较小却非常重要。数据显示,2018年整个EDA的市场规模仅为97.15亿美元,2014-2018年复合增长率在6.89%左右,相对于几千亿美金的集成电路产业来说不值一提,但如果缺少了这个产品,全球所有的芯片设计公司都得停摆。
当前EDA市场是一个被国际厂商高度垄断的市场。Synopsys、Cadence和Mentor三大厂商占据了80%的 EDA市场份额。国产EDA软件无法满足高端芯片的设计需求。其他如Ansys、华大九天和Sivaco等厂商,则在特定领域拥有全流程,在局部市场也是技术领先。这几家厂商也占领了全球15%的营收。剩下的5%营收则由约50家的点工具供应商瓜分。
造成这样的局面,一方面与这些头部厂商发展比较早有关,另外还与EDA产业本身的特性有很大的关系。除了产业寡头垄断、技术壁垒高外,EDA作为一个算法密集型大型软件系统,还拥有研发周期长、产业化周期长、投资周期长、见效慢,还需要持续不断的资金投入的特点;此外,EDA还有需要建立产业生态圈,得到产业链上下游的全力支持、对人才的依赖性更高、并购整合是产业发展的重要手段等特性。
统计国内的EDA研发人员,统共2000多人的规模,当中的一大半都在为三大EDA巨头服务,剩下的就由本土EDA厂商瓜分,这就让本土EDA的发展更加举步维艰。为此必须要高度重视EDA人才的培养,同时也需要探索更多的方式,去吸引更多的海外人才回国,更好地推动本土EDA产业的发展。这方面不但需要高校培养方面的配合,同时还需要国家从政策上提供更多的支持。
作为一个基本支撑,EDA对于近来正在全力发展集成电路的中国来说,是一个必须发展的技术,而这些年来也取得了不少的成绩。
三、国际主要EDA厂商
1、Synopsys(新思科技)
Synopsys(新思科技)成立于1986年,由Aart de Geus带领通用电气工程师团队创立,在2008年成为全球排名第一的EDA软件工具领导厂商,为全球电子市场提供技术先进的集成电路设计与验证平台。其总部位于美国硅谷,目前拥有14,800多名员工,分布在全球132个分支机构。2019财年营业额逾33亿美元,拥有3,300多项已批准专利。自1995年在中国成立新思科技以来,新思科技已在北京、上海、深圳、厦门、武汉、西安、南京、香港、澳门九大城市设立机构,员工人数超过1,300人。
Synopsys提供的产品与服务主要分为四类:EDA、IP、制造解决方案、专业服务与其他,其中EDA产品占Synopsys总收入中的一半以上。Synopsys在EDA行业的市场占有率约30%,它的逻辑综合工具DC(design compiler)和时序分析工具PT(prime time)在全球EDA市场几乎一统江山。
Synopsys的EDA和IP客户通常是半导体和电子系统公司。除此之外,Synopsys还为包括电子、金融服务、媒体、汽车、医药、能源和工业等不同行业客户提供软件安全解决方案。Intel是Synopsys最大客户,2016-2018三年公司总营业额中分别有15.9%、17.9%、15.4%来自Intel。除此之外,公司没有占总收入份额超过10%的客户。

主力产品
Design Compiler Graphical
在持续提供创新性综合技术的趋势下,Design Compiler Graphical 提供了卓越的成果质量并简化流程,以获得更加快速且更加可预测的设计实现。Design Compiler Graphical 使用高级优化和准确的网络延迟建模,将布局后时序速度提高 5%。它还扩展了 DC Ultra™ 拓扑技术,为 IC Compiler 提供物理指导,将综合和布局之间的时序和面积一致性缩紧到 5%,同时将 IC Compiler 布局速度提高 1.5 倍。
优势
  • 通过高级优化,使时序 QoR 提升 10%
  • 为 IC Compiler 提供物理指导,将时序、面积和功耗的一致性缩紧到 5% 以内,并将布局速度提高 1.5 倍
  • 前后综合时准确的拥塞预测和拥塞驱动的优化简化了布线工作
  • 对新旧设计中较小的面积执行门到门优化,同时保持时序结果质量 (QoR)
  • 在 RTL 以及原理图、时序报告和物理视图等设计视图之间执行交叉探查,加快调试
  • 早期物理可视性和调试有助于在物理实现之前发现版图问题
  • 版图规划探索可加快设计收敛,以便获得理想版图规划
  • 在四核计算服务器上将运行速度提高 2 倍
  • 并行多角多模 (MCMM) 综合


PrimeTime 静态时序分析
PrimeTime套件利用 POCV 和变异感知建模,提供快速且节省内存的标量和多核计算、分布式多场景分析和 ECO 修正。Synopsys 的 PrimeTime 静态时序分析工具是在时序、信号完整性、功耗和变异感知分析方面值得信赖的金牌签核解决方案。
它可提供达到 PrimeSim HSPICE 精准度的签核分析,可在送交制造之前明确地定位问题,因此可以降低风险,并确保设计完整性和降低设计费用。 该业界黄金标准可节省无论大小芯片的设计开发过程中的宝贵时间,加快设计周转,同时凭借高度可预见性和超高的精度确保流片一次成功,从而大大提高各个团队的生产效率。该解决方案可扩展,能够利用层次化方法、可扩展架构和分层方法处理我们能够想到的最大的芯片。



2、Cadence(铿腾电子)
Cadence(铿腾电子)是EDA行业销售排名第二的公司,在1988年由SDA与ECAD两家公司兼并而成,Cadence通过不断扩展、兼并、收购,到1992年已占据EDA行业龙头地位,但到2008年被Synopsys超越。
Cadence产品涵盖了电子设计的整个流程,包括系统级设计、功能验证、集成电路综合及布局布线、IC物理验证、模拟混合信号及射频集成电路设计、全定制集成电路设计、PCCE设计和硬件仿真建模等。全球知名半导体与电子系统公司均将Cadence软件作为其全球设计的标准。
Cadence将主营业务分为五类,分别是验证、数字设计、模拟、PCB、IP,其中前三项的地位更为重要,占主营业务收入的份额在75%左右。Cadence的工具集中在模拟电路,PCB电路,FPGA工具。Cadence也有一套完整的ASIC设计工具,但在与Synosys竞争中处下风。但在全定制设计中Virtuoso仍然非常强大,Cadence之所以稳居第二,原因在于其强大的模拟电路设计工具。

主力产品
Virtuoso System Design Platform
面向集成电路和封装设计的统一化“系统感知”平台
利用 Virtuoso Schematic Editor 和 Virtuoso Analog Design Environment,为集成电路和封装/系统级的电路图设计输入、分析和验证提供了单一的平台环境。此外,Virtuoso System Design Platform 为与 Cadence SiP 应用环境和Clarity 3d Solver 提供了自动化双向对接界面。
Virtuoso System Design Platform 使集成电路设计人员能够轻松地在集成电路验证流程中纳入系统级布线寄生效应,将封装/电路板版图连线数据与集成电路版图的寄生效应电气模型结合在一起,从而节省时间。之后使用自动生成的“系统级”原理图来轻松创建用于最终电路级仿真的仿真电路。Virtuoso System Design Platform 使系统级仿真流程实现了自动化,集成电路设计人员无需再将系统级布线寄生效应模型手动集成,避免了错误发生。

3、Mentor Graphics(明导国际)
Mentor Graphics(明导国际)1981年成立,90年代遇到经营困境,软件的研发严重落后于进度,大量长期客户流失。直到94年公司组织结构大调整后,才重新崛起。2016年被德国西门子收购,但是总部仍在美国。
Mentor Graphics是一家EDA软件和硬件公司,也是电路板解决方案的市场领导者,主要提供电子设计自动化先进系统电脑软件与模拟硬件系统。Mento的工具虽没有前两家全面,没有涵盖整个芯片设计和生产环节,但在有些领域,如PCB(印刷电路板)设计工具等方面有相对独到之处。
Mentor公司为业界第三,虽然排行第三,但体量比前两家要小不少。Mentor在EDA厂商中始终占有一席之地的原因是其点工具做得非常好,比如Mentor的PCB设计工具,全面而且信号分析准确。比如ASIC流程中的Calibre在版图LVS,DRC中占有率超出其他两家,比如DFT工具DFTAdvisor在DFT工具中绝对占据优势地位。由于Synopys的销售策略,在DC销售时免费搭DFT compiler,对DFTAdvisor造成一定的市场冲击。
Mentor平台并非像前两家一样大而全,虽然点工具做的很精致,但在Synopsys、Cadence的竞争压力下,发展空间日渐狭小。
四、国内主要EDA厂商
1、华大九天
北京华大九天科技股份有限公司(简称“华大九天”)成立于2009年,其一直聚焦于EDA工具的开发、销售及相关服务业务。华大九天成立的随后几年里承担了“十一五”、“十二五”核高基EDA重大专项。华大九天主要产品包括模拟电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统和晶圆制造EDA工具等EDA软件产品,并围绕相关领域提供包含晶圆制造工程服务在内的各类技术开发服务。
华大九天总部位于北京,在南京、上海、成都和深圳设有全资子公司,是目前国内规模最大、技术实力最强的EDA龙头企业。
据华大九天的董事长刘伟平表示,华大九天拥有国内唯一的模拟IC设计全流程EDA系统,系统搭载的仿真技术全球领先,支持7nm先进工艺、每年数百款芯片上百亿颗出货。

2、芯愿景
北京芯愿景软件技术股份有限公司(简称“芯愿景”)创立于2002年,号称“中国EDA第一股”,公司主营业务是依托自主开发的电子设计自动化(EDA)软件,开展集成电路分析和设计服务,是一家以IP核、EDA软件和集成电路分析设计平台为核心的高技术服务公司。向全球客户提供集成电路分析、集成电路设计、集成电路EDA软件授权服务。
芯愿景自创立起就坚持自主研发集成电路EDA软件,累计研发了6套EDA系统,共30多个软件,覆盖了集成电路工艺分析、电路分析和知识产权分析鉴定的全流程。累计发放授权认证超过40,000个,EDA软件用户群包括国内外芯片设计公司、研究所、高校和知识产权服务机构等。
芯愿景依托于自主IP平台和EDA软件的集成电路设计服务,成功实现了工业控制、汽车电子、安防监控、网络设备、物联网和智能硬件等领域多款芯片的一站式设计服务。公司总部位于中国北京,在保定、天津和太原设有事业部。

3、广立微电子
杭州广立微电子股份有限公司是领先的集成电路EDA软件与晶圆级电性测试设备供应商,公司专注于芯片成品率提升和电性测试快速监控技术,是国内外多家大型集成电路制造与设计企业的重要合作伙伴。公司提供EDA软件、电路IP、WAT电性测试设备以及与芯片成品率提升技术相结合的整套解决方案,在集成电路设计到量产的整个产品周期内实现芯片性能、成品率、稳定性的提升,成功案例覆盖多个集成电路先进工艺节点。

4、芯华章
芯华章科技(北京)有限公司成立于2020年07月28日,其以智能调试、智能编译、智能验证座舱为三大基座,提供全面覆盖数字芯片验证需求的五大产品线,包括:硬件仿真系统、FPGA原型验证系统、智能验证、形式验证以及逻辑仿真,为合作伙伴提供芯片验证解决方案与专家级顾问服务。 同时,芯华章致力于面向未来的EDA 2.0 软件和智能化电子设计平台的研究与开发,以技术革新加速芯片创新效率,让芯片设计更简单、更普惠。
2022年1月5日,国家级基金牵手芯华章,由国家制造业转型升级基金旗下的国开制造业转型升级基金领投,芯华章宣布完成新一轮数亿元融资。截至目前,芯华章已宣布完成六轮融资,除国家队基金的认可,也收获了红杉、高瓴、云锋、高榕、五源、中芯聚源、真格、成为、云晖等国内顶级资本的青睐。
五、EDA面临的挑战
目前EDA 1.X技术的发展在过去30年间支撑着芯片设计从几千颗晶体管到现在百亿级晶体管的集成度。但近年来,AI、云服务器、智能汽车、5G、工业智能控制等不同应用领域对芯片的性能要求越来越高,而功耗、成本的要求越来越分化,芯片设计、验证的成本也随之急速上升。现有EDA的发展速度越来越来越跟不上芯片设计规模和需求的快速增长,且面临着种种挑战。
  • 应用需求分化
芯片应用场景更加细分,关注的是不同领域的应用挑战。从细分的应用需求到基于EDA1.x流程的定制芯片设计成功,是一个长周期且难以充分验证的流程,不能满足系统厂商所习惯的快速创新和迭代的要求。

  • 验证工作复杂
复杂的系统芯片设计验证工作越来越困难,验证的工作量往往需要独立团队耗费数个月才能完成,据业界统计,芯片制造过程中70%的时间成本都会消耗在验证上。验证的覆盖率部分依赖于工程师的经验,即使经过反复验证迭代,也有一定的风险。

  • IP复用价值没有完全发挥
即使是基于商用P组件,SoC设计过程中的子系统设计验证、系统集成软硬件验证、性能和功耗验证、以及后端实现和确认,这些工作量仍然很大,IP的选择和配置对SoC设计的影响无法在前期确认,IP模块支持快速SoC设计的复用价值被削弱。

  • 人才不足
EDA是一个跨学科的复合型领域,因此人才的培养需要更多的时间和资源。而且EDA流程和工具的学习曲线陡峭,进一步提高了工程师的成本。因此,目前EDA人才的需求难以快速满足。

  • 开放性不足
语言、接口和数据的标准化或者开放还不够,很多环节缺少开放的功能和数据接口,不同工具之间往往无法直接互连,中间数据也经常是工具私有的,这些问题导致EDA工具碎片化严重,影响了EDA流程自动化和智能化的发展。

  • 历史包袱影响
EDA 1.x的工具是在二十多年的时间里渐进式发展起来的,这决定了它还背负了过程中的兼容性要求、历史代码、遗留架构等很多历史包袱,因此迭代发展的速度很难跟上现在几十倍增长的大型设计,同时原有软件架构难以充分利用好目前发展迅速的互联网云平台、异构化的硬件设备。

六、未来的趋势
未来的系统产品创新和竞争都会紧密围绕定制芯片展开,因此,定制化SoC芯片代表了整个芯片行业的未来模式。从应用系统厂商的需求诞生出创新的功能芯片,然后功能芯片被定制SoC处理器吸收进去,甚至新的创新功能被直接集成进SoC处理器,这个过程将会一再重复而且周期越来越快。而与过去芯片厂商主导着通用芯片发展的步伐不一样的是,未来系统应用将是芯片设计的核心驱动力。
芯华章将其核心目标定义为“基于开放的工具和行业生态,实现自动化和智能化的芯片设计及验证流程,并提供专业的软硬件平台和灵活的服务,以支持任何有新型芯片应用需求的客户快速设计、制造和部署自己的芯片产品”。EDA2.0是后摩尔定律时代芯片设计发展的未来方向,实现EDA2.0也不是一个0和1的状态变化,而是基于目前的EDA1.x不断采用创新改进满足快速发展的芯片行业需求,是EDA行业长期发展的目标。
因此,EDA2.0的未来包括芯片设计全行业、全流程、全工具的多方面改进,需要全行业的共同努力。

换道超车”,打造首款国产量子芯片设计工业软件

4月30日,本源量子正式发布量子芯片电子设计自动化(Q-EDA)工业软件“本源坤元 Origin Unit”。全球用户可通过本源量子云平台直接在线访问和使用,也可以通过授权下载到本地部署,实现超导和半导体量子芯片版图设计的自动化。
本源坤元成功填补了我国Q-EDA工具领域的空白,是实现量子芯片继续开发及产业化的重要条件,将推动我国在全球新一轮量子科技研发中更进一步。
(B站链接:https://www.bilibili.com/video/BV1Z541117nE/)

使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

1

帖子

0

粉丝