打印
[其他]

如何利用FPGA实现构建ROM??

[复制链接]
1127|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
寻良|  楼主 | 2022-8-12 16:33 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
寻良|  楼主 | 2022-8-12 16:34 | 只看该作者
求求大佬教教

使用特权

评论回复
板凳
zlf1208| | 2022-8-13 10:30 | 只看该作者
FPGA内部有很多寄存器和RAM块,都可以用来构建ROM。不同品牌的FPGA构建的方法不一样,需要参考各自的芯片型号和开发环境。如ROM的容量比较小,可以用寄存器实现,如果容量大,就用RAM块来实现。RAM上电后写入数据,不做修改,其功能和ROM没有差别。不知道你想用ROM做什么用

使用特权

评论回复
地板
寻良|  楼主 | 2022-9-5 22:36 | 只看该作者
zlf1208 发表于 2022-8-13 10:30
FPGA内部有很多寄存器和RAM块,都可以用来构建ROM。不同品牌的FPGA构建的方法不一样,需要参考各自的芯片型 ...

就是设计一个FFT的计算模块,对于1024点旋转因子固定值将其写入底层ROM中或者烧录在flash中,在上电后直接读取使用并计算

使用特权

评论回复
5
zlf1208| | 2022-9-9 08:35 | 只看该作者
寻良 发表于 2022-9-5 22:36
就是设计一个FFT的计算模块,对于1024点旋转因子固定值将其写入底层ROM中或者烧录在flash中,在上电后直 ...

FPGA的开发系统都支持ROM,ROM的内容编译后会生成一个数据文件,在烧录的时候与程序一起写入FPGA中

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

4

主题

6

帖子

0

粉丝