打印

case语句和if语句

[复制链接]
1885|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zhaoqiansh|  楼主 | 2022-8-24 12:10 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
se, ST, ck, RS, TI, UL
`timescale 1ns/ 1ns
module dff_notifier (q, ck, d, rst);
     input ck, d, rst;
     output q;
     reg FLAG; // 1-bit notifier
// dff 网表
     ……
     specify
          (ck => q) = (2: 3: 4);
          $setup(d, posedge ck, 2, FLAG);
     endspecify
endmodule



module test;
     reg ck, d, rst;
     dff_notifier (q, ck, d, rst);
// 产生激励并检查响应
     always @(notifier) begin
          rst = 1; #10 rst = 0;
      end
endmodule

使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

6

主题

17

帖子

0

粉丝