[CPLD] CPLD驱动小灯仿真问题

[复制链接]
1821|0
 楼主| 比神乐 发表于 2022-10-5 03:45 | 显示全部楼层 |阅读模式
我有一块CPLD板子,自己写了个闪灯程序不对。听特权同学的视频教程,写了个test bench仿真程序。

可是仿真出现问题。
CPLD代码:
  1. module LAMP(input clk,input rst_n,output led4);



  2.        
  3.        

  4. reg[5:0] cnt;
  5. always @(posedge clk or negedge rst_n)
  6.         if(!rst_n) cnt<=6'd0;
  7.         else if(cnt<6'd49) cnt<=cnt+1'b1;
  8.         else cnt<=6'd0;
  9.        
  10. assign led4=(cnt <= 6'd24) ? 1'b0 : 1'b1;
  11.        

  12. endmodule
test bench文件:
  1. `timescale 1 ns/ 1 ps
  2. module LAMP_vlg_tst();
  3. // constants                                          
  4. // general purpose registers
  5. reg eachvec;
  6. // test vector input registers
  7. reg clk;
  8. reg rst_n;
  9. // wires                                               
  10. wire led4;

  11. // assign statements (if any)                          
  12. LAMP i1 (
  13. // port map - connection between master ports and signals/registers   
  14.         .clk(clk),
  15.         .led4(led4),
  16.         .rst_n(rst_n)
  17. );
  18. initial                        
  19. begin   

  20. clk=0;
  21.         forever
  22.          #10 clk=~clk
  23. // code that executes only once                        
  24. // insert code here --> begin                          
  25.                                                       
  26. // --> end                                             
  27. $display("Running testbench");                       
  28. end   

  29. initial begin
  30. rst_n=0;
  31. #1000;
  32. rst_n=1;
  33. #5000;
  34. $stop


  35. end
  36.                                                 
  37. always                                                
  38. // optional sensitivity list                           
  39. // @(event1 or event2 or .... eventn)                  
  40. begin                                                  
  41. // code executes for every event on sensitivity list   
  42. // insert code here --> begin                          
  43.                                                       
  44. @eachvec;                                             
  45. // --> end                                             
  46. end                                                   
  47. endmodule

仿真出现的问题现象是Hierarchy view not support
仿真软件版本是Modelsim SE-64 10.4
图片传不上去,不知为何。谢谢!


您需要登录后才可以回帖 登录 | 注册

本版积分规则

470

主题

3537

帖子

7

粉丝
快速回复 在线客服 返回列表 返回顶部