打印

【原创】秀一下俺做的FPGA开发实验箱

[复制链接]
8254|58
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
本帖最后由 navalguy001 于 2012-4-20 10:26 编辑

FPGA开发实验箱—竞赛普及版(XILINX)演示视频下载地址:http://www.sihangtek.com/bbs/dispbbs.asp?boardid=13&Id=69
FPGA开发实验箱分为三个系列,即竞赛普及版、SOPC专业版和组合豪华版。每个系列包含XILINX和ALTERA两款平台。

。FPGA开发实验箱—竞赛普及版(XILINX)是在FPGA竞赛套件(XILINX高级版)的基础上进行升级,使用更加方便,实例和文档更加丰富。

FPGA教学实验箱把分离的模块全部整合到一块FPGA教学实验箱底板上,以该底板为基础,充分采用模块化设计思想,所有的接口都采用统一的接口方案,省掉了原先电子竞赛套件那样复杂连接的电源线、排线和接插针,连接性能更可靠,信号干扰更少,使用更为方便,外形也更为美观。
实验箱底板采用标准的IDC插针,可将FPGA核心模块、I/O模块、A/D模块、D/A模块通过插针连接到实验箱底板上。实验箱底板上专门开辟了一块用户自定义区域,上面可以安装特制的面包板模块,也可以安装其它扩展模块(需另外配置,如DDS模块,三相D/A模块、单片机&外设接口模块、多路线性电源模块等)。
竞赛实验箱和竞赛套件的区别:
1、核心板升级,Xilinx由原来的XC2S200升级到XC3S400,Altera由原来的EP1C6升级到EP1C12,下载线都换成USB下载线;
2、电源引线全部从实验箱内部走,模块直接在实验箱上插拔,使用操作和更换模块更方便;
3、增加用户自定义面包板模块,用户可以根据实际需要,在用户自定义模块上焊接和测试自定义电路,如传感器、滤波器、小信号放大、功率放大等电路,满足竞赛灵活配置的原则;
4、增加10个数字电路实验和2个竞赛实验例程;
5、增加所有30个实验例程的实验指导说明书;
6、增加10个竞赛例程的演示视频。
FPGA开发实验箱—竞赛普及版(XILINX)介绍
◆ 核心板采用Xilinx公司的Spartan-3系列FPGA芯片XC3S400PQ208,门数容量为40万门
◆ FPGA配置芯片为Xilinx公司的专用配置PROM芯片XCF02S,以实现加电自动配置
◆ 可通过JTAG方式配置FPGA和PROM
◆ 核心板140只I/O口全部引出,通过标准40芯插座插在实验箱底板上
◆ I/O 口兼容3.3V TTL电平
◆ 板上自带40MHz有源晶振,配合DLL使用方便,满足高速设计要求
◆ 板载电源开关,电源与下载指示一应俱全
◆ I/O模块板提供基本的人机交互平台,有丰富的I/O设备
◆ A/D模块搭载双通道65MHz高速12位ADC,满足高速高精度数据采集需求
◆ D/A模块搭载双通道125MHz高速14位DAC,满足高速高精度波形输出需求
◆ 技术指标高,高速FPGA(200MHz以上)和高速ADC、DAC完全能满足电子设计竞赛项目的指标要求
◆ 面积紧凑,既可作为培训和学习工具,也可直接用于参赛,满足电子竞赛最小系统板的竞赛规则
◆ 电子竞赛实验程序主要针对信号类竞赛题目的数字功能,如:简易数字频率计、数字有效值电压表、移相信号发生器、数字相位测量仪、简易逻辑分析仪、正弦信号发生器、数字存储示波器、程控滤波器、任意波发生器、简易数字频谱仪、简易数字信号传输性能分析仪等。
一、基础测试实验
1. LED显示实验
2. 数码管显示实验
3. 矩阵键盘输入数码管显示实验
4. 拨码开关输入LED显示实验
5. 拨码开关输入数码管显示实验
6. 蜂鸣器实验
7. 1602英文字符显示实验
8. 12864中文字符显示实验
9. DA输出实验
10. AD输入DA输出实验
二、数字电路实验
1. 基本门电路实验
2. 编码器实验
3. 解码器实验
4. 多路复用器实验
5. 比较器实验
6. 加法器实验
7. 移位寄存器实验
8. 计数器实验
9. 交通灯实验
10. 数字钟实验
三、电子竞赛实验
1. 简易数字频率计(1997年B题)
2. 数字有效值电压表(1999年B题)
3. 移相信号发生器(2003年C题)
4. 数字相位测量仪(2003年C题)
5. 简易逻辑分析仪(2003年D题)
6. 正弦信号发生器(2005年A题)
7. 数字存储示波器(2007年C题)
8. 程控滤波器(2007年D题)
9. 任意波发生器(2001年A题)
10.简易数字频谱仪(2007年A题)
硬件清单:
1. 实验箱    1个
2. FPGA竞赛实验箱底板    1块
3. FPGA核心板    1块
4. 用户自定义面包板模块     1块
5. I/O模块板(含1602字符液晶)    1块
6. 双路高速AD板    1块
7. 双路高速DA板    1块
8. 开关电源(5V 2 A,±12V 0.5A)    1个
9. Xilinx Platform Cable USB下载线    1根
10. 电源线    1根
11. 开发光盘    1张
12. 用户手册    1本
光盘目录:



VHDL代码示例:

Verilog代码示例:

用户手册:

实验说明书(“简易数字频率计”部分内容):

演示视频(首页):

演示视频(01-简易数字频率计):

演示视频(02-数字有效值电压表):

演示视频(03-移相信号发生器):

演示视频(04-数字相位测量仪):

演示视频(05-简易逻辑分析仪):

演示视频(06-正弦信号发生器):

演示视频(07-数字存储示波器):

演示视频(08-程控滤波器):

演示视频(09-任意波发生器):

演示视频(10-简易频谱分析仪):

实验室应用案例:


FPGA实验箱相关资料:
FPGA竞赛实验箱相关资料.rar (2.66 MB)


更多详情请见:
http://item.taobao.com/item.htm?id=10880355966

相关帖子

沙发
冈萨雷斯| | 2012-4-17 20:31 | 只看该作者
还豪华版,哈哈

使用特权

评论回复
板凳
jiazhaohui| | 2012-4-17 21:38 | 只看该作者
这个可以卖个大学或者FPGA的培训班。

使用特权

评论回复
地板
navalguy001|  楼主 | 2012-4-20 10:08 | 只看该作者
是的,这个比较适合大学实验室和FPGA培训班,期待更多的合作与交流!

使用特权

评论回复
5
justin_dengcn| | 2012-4-30 22:45 | 只看该作者
不错啊!!可以相互交流!

使用特权

评论回复
6
justin_dengcn| | 2012-4-30 22:46 | 只看该作者
不错啊!!可以相互交流!:)

使用特权

评论回复
7
navalguy001|  楼主 | 2012-5-5 22:52 | 只看该作者
QQ:48217874,欢迎交流!

使用特权

评论回复
8
GoldSunMonkey| | 2012-5-6 23:11 | 只看该作者
不错:)

使用特权

评论回复
9
caijingyou| | 2012-5-7 23:19 | 只看该作者
牛是

使用特权

评论回复
10
bjy_00000| | 2012-5-14 12:53 | 只看该作者
牛啊·很不错

使用特权

评论回复
11
okyouwin| | 2012-5-14 12:59 | 只看该作者
顶个。好资料。

使用特权

评论回复
12
GoldSunMonkey| | 2012-5-20 23:51 | 只看该作者
不错啊

使用特权

评论回复
13
jameswangchip| | 2012-5-21 14:17 | 只看该作者
:)呵呵,好产品!

使用特权

评论回复
14
gxiaob| | 2012-6-16 11:02 | 只看该作者
很不错!

使用特权

评论回复
15
GoldSunMonkey| | 2012-7-12 13:31 | 只看该作者
:lol

使用特权

评论回复
16
GoldSunMonkey| | 2012-10-16 22:00 | 只看该作者
广告。。

使用特权

评论回复
17
GoldSunMonkey| | 2012-11-4 20:48 | 只看该作者
不要不停的乱顶,否则我删帖了。

使用特权

评论回复
18
王紫豪| | 2012-11-4 23:33 | 只看该作者
:lol猴哥发威了

使用特权

评论回复
19
GoldSunMonkey| | 2012-11-5 22:31 | 只看该作者
:lol猴哥发威了
王紫豪 发表于 2012-11-4 23:33
不停的顶一个帖子,用一个内容不太好。我觉得。

使用特权

评论回复
20
GoldSunMonkey| | 2013-3-17 20:38 | 只看该作者
卖出去多少了?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:FPGA套件淘宝专卖店(http://fpgadev.taobao.com)

8

主题

464

帖子

2

粉丝