[FPGA]

Verilog中wire与reg类型的区别

[复制链接]
2672|24
手机看帖
扫描二维码
随时随地手机跟帖
gaochy1126|  楼主 | 2023-2-18 14:43 | 显示全部楼层 |阅读模式
0、wire、reg都可以有四种取值:0、1、z、x;为了表示这四种取值,所以验证平台中引入可以表示四值的logic类型;

1、wire型数据常用来表示以assign关键字指定的组合逻辑信号,模块的输入输出端口类型都默认为wire型,默认初始值是z。

2、reg型表示的寄存器类型。always模块内被赋值的信号,必须定义为reg型,代表触发器。默认初始值是x。

3、reg相当于存储单元,wire相当于物理连线。

4、两者的区别是:寄存器型数据保持最后一次的赋值,而线型数据需要持续的驱动;wire表示直通,即只要输入有变化,输出马上无条件地反映;reg表示一定要有触发,输出才会反映输入。

5、wire只能被assign连续赋值,reg只能在initial和always中赋值。

6、wire使用在连续赋值语句中,而reg使用在过程赋值语句中。

连续赋值:等号右边操作数发生变化就需要执行(上电便一直执行),可简单的认为并列执行;
在连续赋值语句中,表达式右侧的计算结果可以立即更新表达式的左侧。在理解上,相当于一个逻辑之后直接连了一条线,这个逻辑对应于表达式的右侧,而这条线就对应于wire。
过程赋值:在initial块中,过程性赋值只顺序执行一次;在always块中,每一次满足always的条件,都要顺序执行一次该always块中的语句,可简单认为过程赋值是按顺序执行的;
在过程赋值语句中,表达式右侧的计算结果在某种条件的触发下放到一个变量当中,而这个变量可以声明成reg类型的。根据触发条件的不同,过程赋值语句可以建模不同的硬件结构:
如果这个条件是时钟的上升沿或下降沿,那这个硬件模型就是一个触发器;
如果这个条件是某一信号的高电平或低电平,那这个硬件模型就是一个锁存器;
如果这个条件是赋值语句右任意操作数的变化,那这个硬件模型就是一个组合逻辑;
7、综合结果:

wire型的变量综合出来一般是一根导线;
reg变量在always块中有两种情况:always后的敏感表中是(a or b or c)形式的,也就是不带时钟边沿的,综合出来还是组合逻辑 ;always后的敏感表中是(posedge clk)形式的,也就是带边沿的,综合出来一般是时序逻辑,会包含触发器(Flip-Flop)。
8、使用:在设计中,输入信号一般来说你是不知道上一级是寄存器输出还是组合逻辑输出,那么对于本级来说就是一根导线,也就是wire型。而输出信号则由你自己来决定是寄存器输出还是组合逻辑输出,wire型、reg型都可以。但一般的,整个设计的外部输出(即最顶层模块的输出),要求是寄存器输出,较稳定、扇出能力也较好。
————————————————
原文链接:https://blog.csdn.net/qq_28284627/article/details/121451299

使用特权

评论回复

相关帖子

tifmill| | 2023-2-28 22:04 | 显示全部楼层
谢谢你共享的资料!!                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:10 回复TA
赞一个 
hellosdc| | 2023-2-28 22:04 | 显示全部楼层
有时间需要好好看看   不错                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:10 回复TA
赞一个 
i1mcu| | 2023-2-28 22:04 | 显示全部楼层
资料还是相当全面的                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:10 回复TA
赞一个 
fentianyou| | 2023-2-28 22:04 | 显示全部楼层
资料还是相当全面的                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:10 回复TA
赞一个 
lzbf| | 2023-2-28 22:04 | 显示全部楼层
资料 好好收藏一下                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:10 回复TA
赞一个 
uytyu| | 2023-2-28 22:05 | 显示全部楼层
楼主好人,资料很好。谢谢楼主!                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:11 回复TA
赞一个 
iyoum| | 2023-2-28 22:05 | 显示全部楼层
谢谢你共享的资料                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:11 回复TA
赞一个 
primojones| | 2023-2-28 22:05 | 显示全部楼层
资料够全的,多谢分享                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:11 回复TA
赞一个 
biechedan| | 2023-2-28 22:05 | 显示全部楼层
资料 好好收藏一下                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:11 回复TA
赞一个 
hudi008| | 2023-2-28 22:05 | 显示全部楼层
谢谢lz分享,很有用                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:11 回复TA
赞一个 
earlmax| | 2023-2-28 22:05 | 显示全部楼层
谢谢你共享的资料                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:11 回复TA
赞一个 
louliana| | 2023-2-28 22:05 | 显示全部楼层
谢谢lz分享,很有用                                 

使用特权

评论回复
评论
gaochy1126 2023-2-28 22:11 回复TA
赞一个 
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

24

粉丝