打印
[Verilog HDL]

INOUT的使用

[复制链接]
3374|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2023-5-29 16:11 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
INOUT
[color=rgba(0, 0, 0, 0.75)]在模块端口声明中,一般有input、output,如果存在双向引脚,可以用inout,它为分时复用的双向口。
[color=rgba(0, 0, 0, 0.75)]对于inout的使用,可以设置两个寄存器,一个用于保存输出值,一个用来控制输入还是输出。

module gtx_top(    
        input   sclk,   
        input   rst_n,   
        inout   sda
);
reg sdar;       //sda输出寄存器
reg sda_link;   //sda控制寄存器,0-input,1-output

assign sda = sda_link ? sdar : 1'bz;


使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

25

粉丝