打印
[Verilog HDL]

测试文件

[复制链接]
7782|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2023-7-28 18:04 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
要测试我们设计的模块功能是否正常,最直接的办法就是烧写到FPGA芯片中进行验证,但是这种方式往往结果并不直观,且出现问题后也不容易定位。为提高工作效率,我们可通过电脑仿真的方式进行功能验证,待仿真通过后,再烧写到FPGA中,这样可以快速排除电路中存在的绝大多数bug。在电脑上进行仿真,除了我们设计的功能模块之外,还需要另一模块——testbench,用于产生被测模块所需的激励信号。由于testbench只是用于电脑端的仿真,而不需要最终综合成电路,因此其写法更加灵活,可以使用verilog语法中的一些不可综合的语句,如initial、#、$display、$readmemb、forever等。
假设我们的被测模块完成以下功能
其verilog代码为:
v
1.14.1 简单测试文件
最简单的测试文件可以写成如下形式:
语法说明:
testbench文件一般不包含任何输入输出信号
将被测模块实例化,被测模块的输入信号定义成reg类型,输出信号定义成wire类型。
initia l:通过initial块构造输入信号的波形,同一initial块内部的语句是串行执行的,多个initial块之间并发执行。

使用特权

评论回复
沙发
gaochy1126|  楼主 | 2023-7-28 18:05 | 只看该作者
自检测试文件
带自检功能的测试文件如下所示,可以对输出结果进行判断,并打印错误信息
模块的输入信号给定之后,就有有结果输出,将实际输出结果于预期结果做比较,如果不同,则打印出错误信息。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1030

主题

11276

帖子

25

粉丝