打印
[CPLD]

CPLD选型

[复制链接]
9535|12
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
samm123|  楼主 | 2023-9-7 14:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
一路RS232信号输入,每一帧是1到255字节的数据,把收到的数据,每个byte转换为一个10 bit的数据,从CPLD的另一个引脚发出去。Byte数据转换到10bit数据没有公式,只能建表。
比如:0x00->0x354  0x01->0x232   0x02->0x274………..0xFF->0x123
另一路是反向转换,就是把一个引脚输入的10bit数据(一帧1-255个)转换为8bit数据,收到一帧数据后,把数据从另一个引脚发出去,转换为RS232信号。

请教诸位:推荐一个性价比较高,能实现这个功能的CPLD,问一下EPM3032,EPM3064这两种可以吗?这两种芯片都是44个引脚,CPLD引脚数量多的也不行,一是引脚浪费,还有就是芯片尺寸过大。

使用特权

评论回复
沙发
Diyer123| | 2023-9-7 18:17 | 只看该作者
串口速度,用小MCU来处理绰绰有余

使用特权

评论回复
板凳
奔跑Robin| | 2023-9-7 22:17 | 只看该作者
CPLD推荐用海振远的AGM AGRV2KLQ48,LQFP48封装,焊接调试方便。
如需要资料可以加-V : 150..615..-.255..   《去掉标点》
AGRV2K逻辑资源有2KLE,还内置有MCU。可以当CPLD用,也可以当一个SOC使用,性价比非常Nice。

使用特权

评论回复
地板
samm123|  楼主 | 2023-9-8 08:14 | 只看该作者
MCU是不行的,我这个波特率高,40M bit/S,而且你这个接收到标准232信号,如何发送呢?发送并不是RS232串口那种标准的信号格式。不是一位起始位,8位数据位,。

使用特权

评论回复
评论
imdx 2023-9-8 11:44 回复TA
有一定的速度要求,UART格式又是非标准,这种事情还真只能用CPLD/FPGA来做。买个开发板,先把功能实现,然后根据LE和IO数量选择合适的芯片就行了 
5
zlf1208| | 2023-9-8 08:19 | 只看该作者
如沙发所说,用MCU是优选方案,如果一定要用CPLD,建议先写Verilog程序,然后根据资源用量选择相应的芯片,建议选最近几年出的较新的型号,像EPM这种老型号就不要选了。

使用特权

评论回复
6
fxyc87| | 2023-9-8 10:30 | 只看该作者
EPM240,精典,

使用特权

评论回复
7
imdx| | 2023-9-8 11:41 | 只看该作者
用AG1280Q48,这个足够了。这里有开发板:https://github.com/xjtuecho/AgmPill

使用特权

评论回复
8
samm123|  楼主 | 2023-9-13 08:35 | 只看该作者
为什么这些芯片在嘉立创商城都没有卖呢?很多国产的CPLD都是的。

使用特权

评论回复
9
forrest11| | 2023-9-18 10:06 | 只看该作者
samm123 发表于 2023-9-8 08:14
MCU是不行的,我这个波特率高,40M bit/S,而且你这个接收到标准232信号,如何发送呢?发送并不是RS232串口 ...

40M bit/s, 一般MCU确实不能用,高主频的MCUpin脚又多,CPLD应该是首先,但CPLD一般没有memory,你这里8bit - 10bit转换又要查找表,所以还要在选之前,用verilog查一下哪个CPLD能用,否则到时候PCB画好了,CPLD不够用就悲剧了。

使用特权

评论回复
10
QuakeGod| | 2023-12-18 13:42 | 只看该作者
本帖最后由 QuakeGod 于 2023-12-18 13:50 编辑

你这个就是典型的 8B10B转换。
我年初做过一个这样的项目。波特率也是40Mbps。用FPGA做的,别用CPLD做了,资源不够,太费劲。

当时用到国产的 高云小蜜蜂系列的FPGA,内带Flash,不需要配置芯片,还自带LVDS差分和serdes,封装也很小。
用的芯片型号是GW1N-LV4QN32
整个项目用了一个多月完成。

如果你有需要,也可以有偿给你协助一下。

使用特权

评论回复
11
商周| | 2024-2-4 15:14 | 只看该作者
换国产的器件吧,高云、安路、紫光都可以满足你的需求,上面仁兄说的国产高云小蜜蜂系列满足你的需求估计也没有问题;

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

3

帖子

0

粉丝