打印

FPGA与单片机的通信

[复制链接]
1951|13
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zhenykun|  楼主 | 2012-5-8 20:36 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
没有接触过FPGA ,不知道怎么样定义它们的协议,希望高手们能指明一下方向
沙发
wyjie| | 2012-5-8 20:37 | 只看该作者
这要看FPGA是什么接口

使用特权

评论回复
板凳
yszong| | 2012-5-8 20:39 | 只看该作者
最简单的方法,弄个8位数据线,一个读一个写,然后一个片选,就可以实现基本的通讯了

使用特权

评论回复
地板
zhenykun|  楼主 | 2012-5-8 20:40 | 只看该作者
是不是跟操作 8255 一样的啊!!!

使用特权

评论回复
5
wyjie| | 2012-5-8 20:43 | 只看该作者
都说了取决于FPGA了,什么并口,串口,同步,异步随意整,只要你写程序

使用特权

评论回复
6
dengdc| | 2012-5-8 20:43 | 只看该作者
通讯协议可以自己定义,比如你要往FPGA写数据,就把写和片选置高,然后把你要写的数据往数据总线上一送就行了

使用特权

评论回复
7
zhanghqi| | 2012-5-8 20:54 | 只看该作者
要从FPGA往单片机读数据呢,就把读和片选置高,然后把让FPGA把数据往数据总线上一送就行了

使用特权

评论回复
8
lizye| | 2012-5-8 20:54 | 只看该作者
嗯,楼上几位说的都是基本的,楼主还可以加上几跟地址线之类的做一些扩展之类的.

使用特权

评论回复
9
liliang9554| | 2012-5-8 20:56 | 只看该作者
FPGA+PIC,有8根数据线+4根地址线+读写控制位,FPGA接收单片机的数据不稳定,比如发FF,接收FF或者DF;发送AA,接收88之类的错误(用Chipscope在线观测),请问可能是什么原因导致的呢?

使用特权

评论回复
10
liliang9554| | 2012-5-8 20:56 | 只看该作者
FPGA对其管脚上的数据需要做什么处理吗?我直接把单片机IO接FPGA的IO,电平标准为LVTTL

使用特权

评论回复
11
zhenykun|  楼主 | 2012-5-8 20:58 | 只看该作者
嗯, 好的,我知道了

使用特权

评论回复
12
zhenykun|  楼主 | 2012-5-8 20:59 | 只看该作者
先结贴了

使用特权

评论回复
13
nongfuxu| | 2012-5-9 08:32 | 只看该作者
对于FPGA与MCU通讯, 建议用串口方式通讯.
一来MCU有SPI等串口外设, 二来线少容易跑高速.

使用特权

评论回复
14
tf_0991| | 2012-5-15 17:58 | 只看该作者
最简单的是使用标准协议吧,如果串口使用232,单片机都有这个接口,用FPGA模拟即可。如果并行的话,可以用FPGA来模拟ROM或FLASH,根据单片机的此类接口时序来模拟就可以了。这些协议都是已经存在的,不用自己定夺。如果楼主真要自己定协议,那么单片机端势必也要自己用软件去模拟了。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

868

主题

11535

帖子

3

粉丝