打印

68013-FIFO的问题

[复制链接]
2619|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
happy_10|  楼主 | 2012-5-12 19:51 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
我用68013通过FIFO的方式与外部FPGA进行通信,采用通道2, 因FPGAP 这边读FIFO是被控制的, 并不是FIFO有数据就一直会读, 测试时发现,当FIFO有数据时,FPGA马上去取数据就,能正常读出数据,当FIFO存入数据后,FPGA因其它的原因等待一段时间去读FIFO时, 读出的数据串少了前半部分,后半部分也少了
沙发
wenfen| | 2012-5-12 19:53 | 只看该作者
当上位机再发一次数据到FIFO时呢

使用特权

评论回复
板凳
happy_10|  楼主 | 2012-5-12 19:56 | 只看该作者
FPGA马上读出时, 前一个数据包的后半部分读出来了,再加上新的数据包的前半部分也读出来, 后面发数据包就是重复的这样

使用特权

评论回复
地板
zhuhuis| | 2012-5-12 19:57 | 只看该作者
看看你的读信号使能SLOE和SLRD的控制对不对

使用特权

评论回复
5
happy_10|  楼主 | 2012-5-12 20:00 | 只看该作者
还有就是把EP2设成4缓冲, 当向USB-FIFO写入两个数据包的数据后,再启动FPGA去读时, 这时读出的数据全是零

使用特权

评论回复
6
tian111| | 2012-5-12 20:04 | 只看该作者
读写FIFO的时序有问题

使用特权

评论回复
7
happy_10|  楼主 | 2012-5-12 20:06 | 只看该作者
但我设为FIFO有数据FPGA立刻去读时,能正常读出数据

使用特权

评论回复
8
宋倩2010| | 2012-5-12 20:08 | 只看该作者
不懂 ,帮顶了吧

使用特权

评论回复
9
liangziusb| | 2012-5-13 19:51 | 只看该作者
建议用示波器查看一下相关引脚的时序,多半是你的控制逻辑出了问题。

使用特权

评论回复
10
binghe41| | 2012-7-17 22:07 | 只看该作者
路过,长知识

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

744

主题

7973

帖子

6

粉丝