[modelsim] out出不来

[复制链接]
6525|0
 楼主| 比神乐 发表于 2024-6-8 08:46 | 显示全部楼层 |阅读模式
TI, se, ev, TE, RS, ST
我用modesim仿真
register.v:
  1. module register8(ena,clk,data,rst,out);
  2. input ena,clk,rst;
  3. input [7:0] data;
  4. output [7:0] out;
  5. wire [7:0] data;
  6. reg[7:0] out;
  7.   always @(posedge clk)
  8.         if (!rst)
  9.           out <= 0;
  10.         else if (ena)
  11.           out <= data;
  12. //閾忕晫鍔у▽鈩冩箒閸愭獔lse妞ょ櫢绱濋弰鍓у姧婵″倹鐏塭na娑撹桨缍嗛悽闈涢挬閿涘苯宓嗘担鎸庢闁界喎褰夐崠鏍电礉data閸欐ê瀵查敍灞肩稻out娴犲秳绻氶幐浣风瑝閸?
  13. endmodule
register1.v
  1. `timescale 1 ns/ 100 ps
  2. module register8_vlg_tst();
  3. // constants                                          
  4. // general purpose registers

  5. // test vector input registers
  6. reg clk;
  7. reg [7:0] data;
  8. reg ena;
  9. reg rst;
  10. // wires                                               
  11. wire [7:0]  out;

  12. // assign statements (if any)                          
  13. register8 i1 (
  14. // port map - connection between master ports and signals/registers   
  15.     .clk(clk),
  16.     .data(data),
  17.     .ena(ena),
  18.     .out(out),
  19.     .rst(rst)
  20. );
  21. initial                                                
  22. begin                                                  
  23. // code that executes only once                        
  24. // insert code here --> begin                          
  25.     clk=0;
  26.         #5 clk=~clk;
  27. // --> end                                             
  28.                        
  29. end                                                   
  30. initial                                             
  31. // optional sensitivity list                           
  32. // @(event1 or event2 or .... eventn)                  
  33. begin                                                  
  34. // code executes for every event on sensitivity list   
  35. // insert code here --> begin                          
  36. #10 rst=0;
  37. #10 rst=1;
  38. #10 ena=1;
  39. #10 data <= 16'h55;
  40. #10 data<=16'haa;
  41. #10 data<=16'h46;
  42.   #10 $stop;                                          
  43. // --> end                                             
  44. end                                                   
  45. endmodule

请问高手,哪里出了问题?谢谢!

您需要登录后才可以回帖 登录 | 注册

本版积分规则

470

主题

3537

帖子

7

粉丝
快速回复 在线客服 返回列表 返回顶部