打印

CPLD PWM

[复制链接]
1198|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
小小周周|  楼主 | 2012-5-24 22:37 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
所谓CPLD PWM,就是利用CPLD实现PWM波形的产生。当前,PWM波形的产生主要是利用专用芯片或DSP来实现,具有一定的局限性。利用CPLD实现PWM,可以从外部输入来调整PWM信号的占空比和频率,且具有ISP功能;该方式比DSP简单,比专用芯片灵活,是产生PWM信号的一种不可或缺的方法。

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

79

帖子

0

粉丝