打印

新手急求大侠帮忙解决关于ISE综合报告的timing report

[复制链接]
5449|13
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
liqibeckham|  楼主 | 2012-5-28 08:59 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本人最近做了一个小波变换的FPGA实现   用的是ISE10.1  用的板子是xilinx V2的板子。最后综合报告出来之后  对timing report有些疑问。通常来说,
Timing constraint: Default period analysis for Clock 'clk'
  Clock period: 6.286ns (frequency: 159.076MHz)
  Total number of paths / destination ports: 268605 / 3770
-------------------------------------------------------------------------
Delay:               3.143ns (Levels of Logic = 5)
clock period 和Delay是相等的,为什么我的是两倍的关系呢???

timing report如下所示:
Timing Summary:
---------------
Speed Grade: -7
   Minimum period: 6.286ns (Maximum Frequency: 159.076MHz)
   Minimum input arrival time before clock: No path found
   Maximum output required time after clock: 5.521ns
   Maximum combinational path delay: No path found
Timing Detail:
--------------
All values displayed in nanoseconds (ns)
=========================================================================
Timing constraint: Default period analysis for Clock 'clk'
  Clock period: 6.286ns (frequency: 159.076MHz)
  Total number of paths / destination ports: 268605 / 3770
-------------------------------------------------------------------------
Delay:               3.143ns (Levels of Logic = 5)
  Source:            im_show_inst/vga_inst/Hcount_6 (FF)
  Destination:       rrom/BU2/U0/blk_mem_generator/valid.cstr/has_mux_a.A/sel_pipe_1 (FF)
  Source Clock:      clk rising 0.3X
  Destination Clock: clk rising 0.7X
  Data Path: im_show_inst/vga_inst/Hcount_6 to rrom/BU2/U0/blk_mem_generator/valid.cstr/has_mux_a.A/sel_pipe_1
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDC:C->Q              6   0.370   0.581  im_show_inst/vga_inst/Hcount_6 (im_show_inst/vga_inst/Hcount_6)
     LUT4_L:I0->LO         1   0.275   0.118  im_show_inst/vga_inst/Start_Video56 (im_show_inst/vga_inst/Start_Video56)
     LUT4:I2->O            2   0.275   0.416  im_show_inst/vga_inst/Start_Video57 (im_show_inst/vga_inst/Start_Video57)
     LUT4_D:I3->O          1   0.275   0.349  im_show_inst/vga_inst/Start_Video83_1 (im_show_inst/vga_inst/Start_Video83)
     LUT4:I2->O            5   0.275   0.000  mux2_1_inst/d_out<15>1 (src_addr<15>)
     begin scope: 'rrom'
     begin scope: 'BU2'
     FDE:D                     0.208          U0/blk_mem_generator/valid.cstr/has_mux_a.A/sel_pipe_1
    ----------------------------------------
    Total                      3.143ns (1.678ns logic, 1.465ns route)
                                       (53.4% logic, 46.6% route)
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk'
  Total number of paths / destination ports: 123 / 27
-------------------------------------------------------------------------
Offset:              5.521ns (Levels of Logic = 5)
  Source:            rrom/BU2/U0/blk_mem_generator/valid.cstr/has_mux_a.A/sel_pipe_0 (FF)
  Destination:       B<7> (PAD)
  Source Clock:      clk rising 0.7X
  Data Path: rrom/BU2/U0/blk_mem_generator/valid.cstr/has_mux_a.A/sel_pipe_0 to B<7>
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDE:C->Q             16   0.370   0.766  U0/blk_mem_generator/valid.cstr/has_mux_a.A/sel_pipe_0 (U0/blk_mem_generator/valid.cstr/has_mux_a.A/sel_pipe<0>)
     LUT3:I0->O            1   0.275   0.000  U0/blk_mem_generator/valid.cstr/has_mux_a.A/Mmux_dout_mux_3 (U0/blk_mem_generator/valid.cstr/has_mux_a.A/Mmux_dout_mux_3)
     MUXF5:I1->O           6   0.303   0.543  U0/blk_mem_generator/valid.cstr/has_mux_a.A/Mmux_dout_mux_2_f5 (douta(0))
     end scope: 'BU2'
     end scope: 'rrom'
     LUT3:I1->O            3   0.275   0.397  im_show_inst/RGB_inst/B<0>1 (B_0_OBUF)
     OBUF:I->O                 2.592          B_0_OBUF (B<0>)
    ----------------------------------------
    Total                      5.521ns (3.815ns logic, 1.706ns route)
                                       (69.1% logic, 30.9% route)
=========================================================================

相关帖子

沙发
AutoESL| | 2012-5-28 09:37 | 只看该作者
ISE和板子都老!

使用特权

评论回复
板凳
liqibeckham|  楼主 | 2012-5-28 09:40 | 只看该作者
没办法,现在我们这只有V2的板子能跑VGA显示,请问大侠能解释一下我遇到的这个问题吗???? 2# AutoESL

使用特权

评论回复
地板
dan_xb| | 2012-5-28 10:51 | 只看该作者
1. 第一个约束,时钟周期约束   你这个不是好的吗?你时钟周期是6.286ns,你逻辑延时是3.143ns,不是很好么?
   逻辑延时必须小于时钟周期才可以工作的。因为其实还有Setup/Hold Time
   什么时候说过clock period 和Delay是相等的?

2. 你那个OFFSET OUT约束是什么意思?是为了满足输出接口的时序吗?
    你那个输出接口是同步接口还是异步接口?如果是同步接口,更好的选择是相移时钟

使用特权

评论回复
评分
参与人数 1威望 +1 收起 理由
liqibeckham + 1
5
atua| | 2012-5-28 11:57 | 只看该作者
我猜楼主没有做约束,而且分别用了clk的上下沿打数据了,所以才会有这样的报告。

使用特权

评论回复
6
GoldSunMonkey| | 2012-5-28 12:03 | 只看该作者
我猜楼主没有做约束,而且分别用了clk的上下沿打数据了,所以才会有这样的报告。
atua 发表于 2012-5-28 11:57
应该是这个问题。否则,别的也不会出现。

使用特权

评论回复
7
liqibeckham|  楼主 | 2012-5-28 14:20 | 只看该作者
我全是用的上升沿啊,具体是什么约束呢??? 6# GoldSunMonkey

使用特权

评论回复
8
liqibeckham|  楼主 | 2012-5-28 14:22 | 只看该作者
我全是用的上升沿啊,具体是什么约束呢??? 5# atua

使用特权

评论回复
9
GoldSunMonkey| | 2012-5-28 14:56 | 只看该作者
我全是用的上升沿啊,具体是什么约束呢??? 6# GoldSunMonkey
liqibeckham 发表于 2012-5-28 14:20
至少有周期

使用特权

评论回复
10
nongfuxu| | 2012-5-29 06:41 | 只看该作者
有GSM在,LZ的问题指日可待。:)

使用特权

评论回复
11
jojojoy| | 2012-5-29 14:06 | 只看该作者
:dizzy:飘走。。。。

使用特权

评论回复
12
atua| | 2012-5-29 19:56 | 只看该作者
Hcount 是如何控制rom地址的?

使用特权

评论回复
13
GoldSunMonkey| | 2012-5-29 23:32 | 只看该作者
有GSM在,LZ的问题指日可待。:)
nongfuxu 发表于 2012-5-29 06:41
我一直在。

使用特权

评论回复
14
年轻不再| | 2012-5-30 20:57 | 只看该作者
进来学习了下。留个印。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

14

帖子

0

粉丝