打印
[Verilog HDL]

监测变量

[复制链接]
18|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2024-9-28 16:03 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式


$monitor("%b+%b=%d",a,b,c); //“%b+%b=%d” 格式控制,未指定时默认十进制
%h或%H //以十六进制的形式输出
%d或%D //以十进制的形式输出
%o或%O //以八进制的形式输出
%b或%B //以二进制的形式输出
//--------------------------------------------------
//a,b,c 输出列表,需要输出信息的变量
//被测变量变化触发打印操作,自动换行
`timescale 1ns/1ns

module tb_test();

reg [3:0] a;
reg [3:0] b;
reg [3:0] c;

initial begin
  a = 4'd5;
  #100;
  b = 4'd6;
  #100;
  c = a + b;
end

initial $monitor("%b+%b=%d", a, b, c);

endmodule
//--------------------------------------------------


使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1050

主题

11296

帖子

25

粉丝