打印
[FPGA]

verilog 反相器的设计与仿真

[复制链接]
11|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2024-9-28 16:07 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式



// 2021-1-15 verilog学习
// 反相器设计

`timescale 1ns/10ps  // 一个时间单位 1ns,精度是10ps

module inv(A,Y);
input A;  // 输入端口
output Y;  // 输出端口
assign Y=~A;   // 输入输出关系 ~取反
endmodule

//  testbench of inv 测试台

module inv_tb;  //  测试台没有测试端口,不用括号:inv_tb()
reg aa;    // 定义寄存器型变量 锁存功能 取名为aa
wire yy;   // 定义线性变量,值不定  取名为yy

inv inv(
.A(aa),
.Y(yy)
);

initial begin
                aa<=0;
#10 aa<=1;  // 过10个时间单位
#10 aa<=0;
#10 aa<=1;
#10 $stop;  // $stop 停止
end

endmodule



使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1050

主题

11296

帖子

25

粉丝