打印

xilinx的chipscope问题

[复制链接]
7520|11
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
403464238|  楼主 | 2012-5-31 10:35 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
linas| | 2012-5-31 15:39 | 只看该作者
是不是时钟不对啊?

使用特权

评论回复
板凳
403464238|  楼主 | 2012-5-31 16:45 | 只看该作者
2# linas

那ILA的时钟应该是什么呀?

使用特权

评论回复
地板
403464238|  楼主 | 2012-5-31 21:39 | 只看该作者
顶起呀  急着要用呢

使用特权

评论回复
5
GoldSunMonkey| | 2012-5-31 22:19 | 只看该作者
你是synplify 综合的?
还是?

使用特权

评论回复
6
GoldSunMonkey| | 2012-5-31 22:20 | 只看该作者
说下流程。

使用特权

评论回复
7
nianzhong| | 2012-6-1 00:18 | 只看该作者
基本上来说是ila的时钟没有,如果时钟是来自dcm的话,检查一下dcm是否正常,如果不是检查外围晶振。
不过一般情况下都是自己的设计出问题,尤其是当ila的采样时钟不是系统时钟的时候。

使用特权

评论回复
8
403464238|  楼主 | 2012-6-1 08:46 | 只看该作者
5# GoldSunMonkey   
我用的是ISE自带的XST,没有用synplify.ILA的时钟应该是数据的同步时钟吧?不应该是全局时钟吧?

使用特权

评论回复
9
403464238|  楼主 | 2012-6-1 08:48 | 只看该作者
7# nianzhong
我调用的是现成的核,DCM正常与否怎么检查?求方法?

使用特权

评论回复
10
myx0709| | 2012-6-1 17:37 | 只看该作者
这是ila的时钟问题,应该是这个时钟没有跳变,给你两个建议:
1、检查板上晶振输出是否正常
2、若正常,就检查DCM或PLL输出是否正常,首先看你的使用方法是不是正确,再看看是不是RST信号一直在置位。这个检查可以通过将DCM或PLL输出时钟信号引到FPGA的引脚上面用示波器测一下,也可以用Modelsim仿真一下
只要是时钟问题解决正常了,chipscope应该就可以用了。

使用特权

评论回复
11
403464238|  楼主 | 2012-6-1 20:52 | 只看该作者
10# myx0709
谢谢啊!好好试试

使用特权

评论回复
12
GoldSunMonkey| | 2012-6-1 21:49 | 只看该作者
检查时钟,唯一的可能。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

44

帖子

0

粉丝