打印

关于信号延迟问题

[复制链接]
1082|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
huangjianhuang|  楼主 | 2012-6-28 16:47 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
目前小弟在做个小实验。需要将一信号延迟一段时间后再输出。但是仿真的结果都不对。希望各位给点建议

module delay(clk,sigin,clkout);
input clk,sigin;
output clkout;
reg clkout;
reg [0:4] cnt;
integer k1;
always@(posedge sigin)
begin
if(sigin==1)
k1=1;
else
k1=0;

end
always@(posedge clk)
begin
if(k1==1)
begin
cnt<=0;
end
if((cnt<4)&&(k1==1))
begin
cnt<=cnt+1;
clkout<=1;
end
else if(cnt==4)
begin
cnt<=0;
clkout<=0;
k1<=0;
end
else
clkout<=0;
end
endmodule

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

23

帖子

0

粉丝