打印

再次请教fifo的问题

[复制链接]
1572|11
手机看帖
扫描二维码
随时随地手机跟帖
沙发
捡漏王子| | 2012-7-9 13:58 | 只看该作者
既然都有思路了,你可以自己尝试下

使用特权

评论回复
板凳
gaochy1126|  楼主 | 2012-7-9 18:48 | 只看该作者
自己写的不行,所以才想你们请教呢! 2# 捡漏王子

使用特权

评论回复
地板
GoldSunMonkey| | 2012-7-9 21:42 | 只看该作者
:L这是什么代码,我都看不懂

使用特权

评论回复
5
gaochy1126|  楼主 | 2012-7-9 21:43 | 只看该作者
猴哥,这不是代码,这是思路!!!!!! 4# GoldSunMonkey

使用特权

评论回复
6
GoldSunMonkey| | 2012-7-9 21:43 | 只看该作者
:L

使用特权

评论回复
7
GoldSunMonkey| | 2012-7-9 21:44 | 只看该作者
代码的没有。
自己学习吧。太基础的我觉得还是学习一下比较好。
HDL语言,我扣了半年~
还是觉得学的很浅

使用特权

评论回复
8
ococ| | 2012-7-10 10:29 | 只看该作者
自己写的FIFO模块?还是操作FIFO IP核?

使用特权

评论回复
9
mr.king| | 2012-7-10 11:36 | 只看该作者
你希望这种接口,你就要用个控制器套子,吧标准时序换成你的特定的时序

使用特权

评论回复
10
GoldSunMonkey| | 2012-7-10 22:06 | 只看该作者
:)

使用特权

评论回复
11
heiyux| | 2012-7-11 00:17 | 只看该作者
always@(posedge clk)
if(rst||full)begin
    wr_en<=1'b0;
    wr_dat<=X'd0;
end
else begin
    wr_en<=1'b1;
    wr_dat<=datin;
end

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1030

主题

11276

帖子

25

粉丝