打印

简单加法计算器编程原理

[复制链接]
1577|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wyjie|  楼主 | 2012-7-23 22:37 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
简单加法计算器编程原理是什么?想要个C语言的
沙发
yszong| | 2012-7-23 22:38 | 只看该作者
ulong i = a+b;
printf("%d",i);这样就得了

使用特权

评论回复
板凳
zhaoxqi| | 2012-7-23 22:40 | 只看该作者
加法确实如上所述,不过加法器编程真是上面的那么简单吗

使用特权

评论回复
地板
huangchui| | 2012-7-23 22:41 | 只看该作者
要设计一个加法器?编程应该不是难点吧

使用特权

评论回复
5
zhanghqi| | 2012-7-23 22:43 | 只看该作者
http://www.doc88.com/p-67516635171.html
看你的设计要求是不是和这个有点类似

使用特权

评论回复
6
wyjie|  楼主 | 2012-7-23 22:43 | 只看该作者
哦,我再仔细看看

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

927

主题

12706

帖子

5

粉丝