打印

textio可以随机读取数据吗?

[复制链接]
1079|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
mkguo|  楼主 | 2012-8-6 15:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
TI, TE, IO, AD, edge
本帖最后由 mkguo 于 2012-8-6 15:34 编辑

if(rising_edge(clk)) then

    readline(file_inf , line_inf);

    read(line_inf,data_tmp,good);

    IMem_data<=conv_std_logic_vector(data_tmp,8);

end if;
这是我现在的代码  只允许一行一行读入数据
我的希望是 控制line_inf的值(line数据类型怎样赋值?)使其随机读取某一行          (我在做一个处理器仿真的时候,指令存储在RAM中 因为指令地址有跳转什么的 所以不会依次读取,需要根据模块生成的地址随机读取指令)

谢谢大虾

相关帖子

沙发
GoldSunMonkey| | 2012-8-6 22:34 | 只看该作者
不可以

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

4

帖子

0

粉丝