打印

请教关于spartan6和DDR2的几个信号

[复制链接]
1085|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
水畔天蓝|  楼主 | 2012-8-13 10:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
选用的器件呢是spartan6的XC6S75-3的FPGA,DDR2是MT47H128M8-3的。用MIG3.7生成控制器的时候有几个信号不太明白,也看了下资料,还是不太懂,请教一下各位哈:
1:FPGA上有,DDR2上没有的信号。REQ和ZIO。什么作用?怎么接?
2:FPGA上没有,DDR2上有的信号。CS#(这个是好像片选),RDQS和RDQS#。后两个是什么作用?这三个信号怎么接?

相关帖子

沙发
GoldSunMonkey| | 2012-8-13 22:24 | 只看该作者
看开发板的资料。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

77

主题

242

帖子

0

粉丝