打印

综合的时候报错,没办法解救

[复制链接]
7546|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
nealfei|  楼主 | 2012-8-15 10:35 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
ERROR:ConstraintSystem:59 - Constraint <NET "XRSP"  LOC = "P2" ;> [test.ucf(4)]:
   NET "XRSP" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "led1"  LOC = "P4" ;> [test.ucf(6)]:
   NET "led1" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "led2"  LOC = "P5" ;> [test.ucf(7)]:
   NET "led2" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "DIR6"  LOC = "P6" ;> [test.ucf(8)]:
   NET "DIR6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "EN6"  LOC = "P7" ;> [test.ucf(9)]:
   NET "EN6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled0"  LOC = "P9" ;>
   [test.ucf(10)]: NET "oled0" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled1"  LOC = "P10" ;>
   [test.ucf(11)]: NET "oled1" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled2"  LOC = "P11" ;>
   [test.ucf(12)]: NET "oled2" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled3"  LOC = "P12" ;>
   [test.ucf(13)]: NET "oled3" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled4"  LOC = "P13" ;>
   [test.ucf(14)]: NET "oled4" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled5"  LOC = "P14" ;>
   [test.ucf(15)]: NET "oled5" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled6"  LOC = "P15" ;>
   [test.ucf(16)]: NET "oled6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled7"  LOC = "P16" ;>
   [test.ucf(17)]: NET "oled7" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "ECLK"  LOC = "P31"  ;>
   [test.ucf(29)]: NET "ECLK" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "RSTP"  LOC = "P143"  ;>
   [test.ucf(119)]: NET "RSTP" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:NgdBuild:605 - logical root block 'T_test' with type 'T_test' is
   unexpanded. Symbol 'T_test' is not supported in target 'xc9500xl'.

相关帖子

沙发
nealfei|  楼主 | 2012-8-15 10:36 | 只看该作者
按照官网上的办法处理过,但是没结果,http://www.xilinx.com/support/answers/32089.htm,还是会报以上的错误,真是很急没希望高手指点指点啊

使用特权

评论回复
板凳
GoldSunMonkey| | 2012-8-15 14:14 | 只看该作者
他是说你管脚不存在啊。

你给的网页,只是说你都没问题的情况下才起作用啊。

使用特权

评论回复
地板
ococ| | 2012-8-15 15:49 | 只看该作者
错误信息很清楚啊,你ucf里面约束的管脚在你顶层RTL代码里面不存在。

使用特权

评论回复
5
pailong001| | 2012-8-15 16:11 | 只看该作者
太有意思啊

使用特权

评论回复
6
daisyly| | 2012-8-15 18:37 | 只看该作者
真考验我。:Q

使用特权

评论回复
7
GoldSunMonkey| | 2012-8-15 18:43 | 只看该作者
:)

使用特权

评论回复
8
GG_GG| | 2012-8-15 22:47 | 只看该作者
重新建个工程吧

使用特权

评论回复
9
GoldSunMonkey| | 2012-8-16 14:55 | 只看该作者
;P

使用特权

评论回复
10
huangdicaiyong| | 2015-9-20 20:37 | 只看该作者
请问这个问题最终怎么解决的

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

11

主题

132

帖子

1

粉丝