打印

Verilog 简明教程

[复制链接]
6950|57
手机看帖
扫描二维码
随时随地手机跟帖
沙发
jahnson066| | 2012-9-18 18:20 | 只看该作者
新手学习了,谢楼主

使用特权

评论回复
板凳
peterlinux2010| | 2012-9-19 12:37 | 只看该作者
看内容好像还没有写完哈,支持下

使用特权

评论回复
地板
GoldSunMonkey|  楼主 | 2012-9-19 21:56 | 只看该作者
看内容好像还没有写完哈,支持下
peterlinux2010 发表于 2012-9-19 12:37
我觉得写的不错。

使用特权

评论回复
5
fuzhoulzp| | 2012-9-23 14:02 | 只看该作者
最近在学,下载看了真不错!

使用特权

评论回复
6
springspring| | 2012-9-23 14:56 | 只看该作者
学习FPGA,这个是必须的,谢谢楼主,刚好需要

使用特权

评论回复
7
a200556220407| | 2012-9-24 08:48 | 只看该作者
谢谢分享

使用特权

评论回复
8
haimeng2010| | 2012-9-24 09:57 | 只看该作者
内容挺好的 感谢分享

使用特权

评论回复
9
haimeng2010| | 2012-9-24 09:57 | 只看该作者
内容挺好的 感谢分享

使用特权

评论回复
10
tanzhennian| | 2012-9-24 16:08 | 只看该作者
谢谢楼主了

使用特权

评论回复
11
PZHengwf| | 2012-10-21 18:46 | 只看该作者
国际惯例,看帖回帖,楼主辛苦!

使用特权

评论回复
12
GoldSunMonkey|  楼主 | 2012-10-21 21:23 | 只看该作者
:lol

使用特权

评论回复
13
li46552089| | 2012-10-22 08:38 | 只看该作者
谢谢猴哥分享~

使用特权

评论回复
14
zhy980| | 2012-10-26 13:31 | 只看该作者
谢谢楼主分享,新手学习了

使用特权

评论回复
15
david-xia| | 2012-11-2 09:00 | 只看该作者
谢谢分享

使用特权

评论回复
16
luyaoss| | 2012-11-13 21:56 | 只看该作者
感谢猴哥分享,作为一名新手,我在找你的帖子,以猴哥为榜样,好好学习。

使用特权

评论回复
17
wind_vip| | 2012-11-14 08:52 | 只看该作者
谢谢分享,不断努力学习。

使用特权

评论回复
18
星星之火红| | 2012-11-18 16:49 | 只看该作者
不错

使用特权

评论回复
19
zhoujie9220| | 2012-11-30 13:13 | 只看该作者
看看!

使用特权

评论回复
20
gucheng8791| | 2012-12-16 15:46 | 只看该作者
新手学习一下

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:                     2014, 追逐梦想

264

主题

17215

帖子

523

粉丝