打印

verilog出错了,求指导

[复制链接]
1127|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
dousfoulexd|  楼主 | 2012-9-19 13:22 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
做了一个计数器结果数码管显示的实验,结果数码管全部显示一样的数字。
  仿真结果也不对,有1000个warning,都是Warning: Found clock high time violation at 2.8 ns on register "|dynamic_sweep_top|bcd_4bit:u1|cnt10:u1|dout[1]",查了半天也没查出来哪儿有问题。
  我会陆续把程序发上来的

相关帖子

沙发
dzyc| | 2012-9-19 14:40 | 只看该作者
应该是位选是不对的,把位选控制对了就行了!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

10

主题

153

帖子

0

粉丝