打印

基于FPGA的等精度测频方法

[复制链接]
1158|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
星星之火红|  楼主 | 2012-10-9 21:43 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1、多周期等精度测频的时序
      

      预置闸门时间产生电路产生预置闸门时间TPTP经同步电路产生与被测信号(fx)同步的实际闸门时间T   主门与主门在时间T内被同时打开,计数器分别对
f
x
f
0
进行计数。


2、实现1.
闸门信号与被测信号同步

2.
在闸门信号与被测信号的同步下降沿锁存计数值

3Verilog硬件描述语言

/*
*  Copyright (C) 2009, Electric & Electronic Innovation Center of Sci. & Tech. HUST
*  All Rights Reserved.
*  
*  File name:              mesureFreq.v
*  File description:       Measure the frequency
*            
*  This version:           1.0
*  Author:                 lwpo2008(lwpo2008@yahoo.com.cn)
*  Previous Author:        none
*  Complete date:          2009-08-20
*  
*/
module mesureFreq (
  input       fx,
  input       fbase,
  input       fgate,
  output
reg[31:0]  fxCnt,
  output
reg[31:0]  fbaseCnt
  );
  
reg   startCnt;
reg[31:0] fxCntTemp,fbaseCntTemp;

always @ (posedge fbase)  begin
  if(startCnt)
    fbaseCntTemp <= fbaseCntTemp +
1;
  else
    fbaseCntTemp <=
32'h00000000;
end

always @ (posedge fx)   begin
  if(startCnt)
    fxCntTemp <= fxCntTemp +
1;
  else
    fxCntTemp <=
32'h00000000;
end

//synchronous fgate
always @ (posedge fx) begin
  if(fgate)
    startCnt <=
1'b1;

else
    startCnt <=
1'b0;
end

//output
always @ (negedge startCnt) begin
  fxCnt    <= fxCntTemp;
  fbaseCnt <= fbaseCntTemp;
end

endmodule

相关帖子

沙发
GoldSunMonkey| | 2012-10-9 21:44 | 只看该作者
还有代码,上次有个兄弟找这个**呢。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

101

主题

1782

帖子

22

粉丝