打印

Verilog assign和always 注意事宜

[复制链接]
1492|12
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
星星之火红|  楼主 | 2012-10-13 18:47 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
assign 用于描述组合逻辑
always@(敏感事件列表) 用于描述时序逻辑
敏感事件 上升沿 posedge,下降沿 negedge,或电平
敏感事件列表中可以包含多个敏感事件,但不可以同时包括电平敏感事件和边沿敏感事件,也不可以同时包括同一个信号的上升沿和下降沿,这两个事件可以合并为一个电平敏感事件。
在新的verilog2001中“,”和“or”都可以用来分割敏感事件了,可以用“*”代表所有输入信号,这可以防止遗漏。
合法的写法:
always@ *
always@ (posedge clk1,negedge clk2)
always@ (a or b)
`timescale 100ns/100ns //定义仿真基本周期为100ns
always #1 clk=~clk //#1代表一个仿真周期即100ns

所有的assign 和 always 块都是并行发生的!
并行块、顺序块
将要并行执行的语句写在
fork
//语句并行执行
join
将要顺序执行的语句写在
begin
//语句顺序执行
end
并行块和顺序块都可以写在
initial 或 always@ 之后,也就是说写在块中的语句是时序逻辑的
对assign之后不能加块,实现组合逻辑只能用逐句的使用assign
组合逻辑如果不考虑门的延时的话当然可以理解为瞬时执行的,因此没有并行和顺序之分,并行和顺序是针对时序逻辑来说的。值得注意的是所有的时序块都是并行执行的。initial块只在信号进入模块后执行1次而always块是由敏感事件作为中断来触发执行的

相关帖子

沙发
GoldSunMonkey| | 2012-10-13 18:48 | 只看该作者
总结的不错啊

使用特权

评论回复
板凳
星星之火红|  楼主 | 2012-10-13 18:48 | 只看该作者
哈哈,必须总结的不错才行啊。哈哈

使用特权

评论回复
地板
GoldSunMonkey| | 2012-10-13 18:49 | 只看该作者
嘿嘿,今天真冷啊。

使用特权

评论回复
5
星星之火红|  楼主 | 2012-10-13 18:49 | 只看该作者
我都没敢出去。哈哈

使用特权

评论回复
6
星星之火红|  楼主 | 2012-10-13 18:50 | 只看该作者
我发现越来越冷了。

使用特权

评论回复
7
GoldSunMonkey| | 2012-10-13 18:50 | 只看该作者
这个话就有点,太啊~

使用特权

评论回复
8
星星之火红|  楼主 | 2012-10-13 18:50 | 只看该作者
哈哈,下周来我这么?

使用特权

评论回复
9
GoldSunMonkey| | 2012-10-13 18:50 | 只看该作者
不出差就过去。

使用特权

评论回复
10
星星之火红|  楼主 | 2012-10-13 18:50 | 只看该作者
哈哈,拿给我带点好吃的。

使用特权

评论回复
11
GoldSunMonkey| | 2012-10-13 18:51 | 只看该作者
必须的。哈哈

使用特权

评论回复
12
McuPlayer| | 2012-11-13 22:58 | 只看该作者
搜索个“Verilog 自动 敏感”就找到这了,谢谢楼主,也谢谢猴哥

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

101

主题

1782

帖子

22

粉丝