打印

VHDL程序设计的基础知识

[复制链接]
1110|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lxAPP|  楼主 | 2012-10-16 20:50 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
还有一周的时间,先把VHDL的知识复习一下,8月30号开始上学校VHDL的课,下载了基本中文的教材,推荐胡小玲讲的VHDL语言基础。

本着简单实用的原则,我摘抄了一些笔记,分享给大家,




1.  VHDL语言的五大元素:
       a. entity
       b. architecture
       c. configuration
       d. package/package body
       e. library

2.  VHDL基本结构 - 实体结构

       ENTITY  实体名 IS
           [GENERIC(类属表说明);]
           [PORT(端口表说明);]
           [实体说明部分;]
       END [ENTITY] [实体名];

       讲解:类属表 和端口说明用于说明实体和其外部环境通信的对象。
                   类属为实体和其外部环境通信的静态信息提供通道;
                   最常用的信息是器件的上升沿到下降沿的延时时间、负载电容和电阻、驱动能力及功耗
                   端口说明是对实体与外部接口的描述
3. VHDL提供了四种端口模式

     1. IN: 允许数据流入实体,而不允许数据流出实体。
     2. OUT: 允许数据流出实体,而不允许数据流入实体。
     3. INOUT: 可代替所有其他模式,但降低了程序的可读性,一般用于与CPU的数据总线接口。
     4. BUFFER: 与OUT类似,只是缓冲模式允许内部引用该端口的信号。

       说明: a. IN     可以出现在<= 或:= 的左边
                 b. OUT   可以出现在<= 或:= 的右边
                 c. BUFFER  可以出现在<= 或:= 的两边
                 d. IN 信号只能被引用,不能被赋值
                 e. OUT信号只能被引用,不能被赋值
                 f. BUFFER 信号可以被引用,不能被赋值

4.VHDL基本结构 - 结构体描述

       结构体描述: 结构体具体的描述了设计实体的逻辑功能或者内部电路结构关系,从而建立设计实体输入与输出之间的关系。
                         结构体功能可以用三种方式进行描述,即行为描述、数据流描述、结构描述,另外,还可以采用混合描述。

       ARCHITECTURE 结构体名 OF 实体名 IS
       [定义语句] (内部信号,常数,数据类型,函数等的定义;)
       BEGIN
       [并行处理语句];
       END [ARCHITECTURE] [结构体名];

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

58

主题

483

帖子

2

粉丝