打印

FPGA Design Flow 学习笔记(1)

[复制链接]
1105|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
星星之火红|  楼主 | 2012-10-22 21:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
用FPGA也有很长时间了,但是当初学习的时候有好多知识一直是一知半解的,到后来才明白。借助于学习Spartan6开发板上培训资料的过程,将一些以前不甚理解的或者没有注意的细节重新做了一下笔记,对一些细节简要总结,慢慢的在此和大家分享一下。
1 所有Xilinx都包含相同的基本资源
–逻辑资源
• Slice (分为CLB)
–包含组合逻辑与寄存器资源
• 存储单元
• 乘法器
– 内部互连资源
• 可编程互连
• IOB
– FPGA与外部世界的接
– 其余资源
• 全局时钟缓冲
• 边界扫描逻辑
2 如何定义一个成功的设计
–适合用所选择的器件实现
– 满足性能要求

相关帖子

沙发
星星之火红|  楼主 | 2012-10-22 21:17 | 只看该作者
3 合理的逻辑延迟
•如果低于60%的时序安排是用于逻辑延迟的,则布局布线工具可以轻松地满足约束
• 在60%到80%之间, 软件运行时间急剧增长(布局布线工具需要使用大量的时间来计算布线路径)
• 超过80%, 可能会很难实现布线目标
4 如何阅读报告
•设计在FPGA上成功的实现意味着意味着你的设计符合面积和性能目标
•映射报告提供了资源的利用率与可行性(Map Report)
•映射前的静态时序报告提供信息,以建立合理的时序约束(Post-Map Static Timing Report)
•布局布线后的静态时序报告告知设计是否满足时序约束(Post-Place & Route Static Timing Report)
Without global timing constraints:Logic is placed randomly
With global timing constraints (OFFSET):Logic is placed to result in a faster design

使用特权

评论回复
板凳
xjsxjtu| | 2012-10-22 21:38 | 只看该作者
版上又来一个大神

使用特权

评论回复
地板
lxAPP| | 2012-10-22 21:40 | 只看该作者
哈哈~~

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

101

主题

1782

帖子

22

粉丝