打印

使用Modelsim仿真DSP48A1原语 报错

[复制链接]
3950|12
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wahahaabc|  楼主 | 2012-10-24 11:50 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
GoldSunMonkey| | 2012-10-24 11:57 | 只看该作者
库没编译上

使用特权

评论回复
板凳
wahahaabc|  楼主 | 2012-10-24 15:32 | 只看该作者
2# GoldSunMonkey 猴哥 库已经编译了 在MODELSIM的LIBRARY窗口可以看到 如下:

使用特权

评论回复
地板
wahahaabc|  楼主 | 2012-10-24 22:50 | 只看该作者
问题已经解决 虽然已经解决 但是还是很奇怪 还请高手解答 描述如下:
调用DSP48A1原语 因为DSP48A1的D端口为18bit,而实际的信号为Nbit(这个N可以配置,N小于18,将这个Nbit的信号称为k),所以,将Nbit的信号连接到DSP48A1的D端口是需要从Nbit扩展到18bit,高位补0即可。代码如下:
port map (
...
D => CONV_STD_LOGIC_VECTOR(0,18-N) & k,
...
);
将上述代码改为如下,即可解决问题
signal DDD : std_logic_vector(17 downto 0);

DDD <= CONV_STD_LOGIC_VECTOR(0,18-N) & k;

port map (
...
D => DDD
...
);

这是怎么回事呢???请高手解答

使用特权

评论回复
5
星星之火红| | 2012-10-24 23:28 | 只看该作者
MAP是不是支持信号,不支持你赋值呢?
我猜而已。

使用特权

评论回复
评分
参与人数 1威望 +3 收起 理由
wahahaabc + 3
6
wahahaabc|  楼主 | 2012-10-24 23:49 | 只看该作者
6# 星星之火红
没有MAP,只是用MODELSIM功能仿真而已。

我们同事说是代码风格有问题,像下面这种情况,他说在VHDL中都是不允许的。
port map(
...
rst_n  => not rst, -- 这种情况在ISE中进行语法检查,会出现警告。
...
)
遇到这种情况,他从来都是这样写:
signal rstn: std_logic;
rstn <= not rst;
port map(
...
rst_n => rstn, -- 没有警告
...
);

使用特权

评论回复
7
GoldSunMonkey| | 2012-10-24 23:50 | 只看该作者
6# 星星之火红
没有MAP,只是用MODELSIM功能仿真而已。

我们同事说是代码风格有问题,像下面这种情况,他说在VHDL中都是不允许的。
port map(
...
rst_n  => not rst, -- 这种情况在ISE中进行语法检查,会出现警 ...
wahahaabc 发表于 2012-10-24 23:49
他不是说MAP的过程,他是说端口map,我猜也是他说的。

使用特权

评论回复
8
ococ| | 2012-10-25 09:13 | 只看该作者
MAP端口连接是不支持 逻辑操作的,只能连接信号。

使用特权

评论回复
评分
参与人数 1威望 +3 收起 理由
wahahaabc + 3
9
GoldSunMonkey| | 2012-10-25 17:09 | 只看该作者
MAP端口连接是不支持 逻辑操作的,只能连接信号。
ococ 发表于 2012-10-25 09:13
哈哈和我说的一样。

使用特权

评论回复
评分
参与人数 1威望 +3 收起 理由
wahahaabc + 3
10
wahahaabc|  楼主 | 2012-10-28 23:40 | 只看该作者
9# ococ 原来如果要将一个取反的信号连接到一个调用模块的引脚,就直接这样写
rst_n => not rst
在仿真和实现的时候都没有错,以为这样写没有问题。

看来还是不能偷懒呀,以后要注意了

多谢几位大侠

使用特权

评论回复
11
liujunfznc| | 2014-7-17 22:30 | 只看该作者
我用modelsim仿真一个调用dsp48a1原语的程序,可是老提示Error: (vsim-3033) ../src/AWB.v(345): Instantiation of 'dsp48a1' failed. The design unit was not found.

我的tcl中这样写的
vsim  -L D:/modeltech_6.4e/xilinx_lib/UNISIM  -L D:/modeltech_6.4e/xilinx_lib/UNISIMS_VER

另外modelsim中的库UNISIM和UNISIMS_VER都能看到dsp48a1

请教大神帮帮忙

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

119

主题

627

帖子

0

粉丝