打印

基础问题:FPGA中寄存器的长度有限制吗?

[复制链接]
1617|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
huofeijingfe|  楼主 | 2012-10-24 17:02 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
FPGA 是硬件编程器件,器寄存器的长度理论上没有限制,受限与硬件容量,以及对寄存器的时钟速率要求。比如要实现一个256位的串并转换。只需如下编程即可:
    din :  in   std_logic_vector(255 downto 0);
    dout : out std_logic
    .....................
    signal shift  : std_logic_vector(255 downto 0);

    process(clk,rst)
    begin
     if (rst='1') then
      dout<='0';
     elsif clk'event and clk='1'  then
        dout<=shift(0);
        shift<='0' & shift(255 downto 1);
    end if;

相关帖子

沙发
Backkom80| | 2012-10-24 17:25 | 只看该作者
理论上没有限制,当然了,总不能开发一个几K个FF串起来做串并转换吧
:lol

使用特权

评论回复
板凳
星星之火红| | 2012-10-27 22:27 | 只看该作者
;P

使用特权

评论回复
地板
shang651| | 2012-10-27 22:32 | 只看该作者
理论上没有限制,当然了,总不能开发一个几K个FF串起来做串并转换吧
:lol
Backkom80 发表于 2012-10-24 17:25
谢谢贝壳同学

使用特权

评论回复
5
lxAPP| | 2012-10-27 22:34 | 只看该作者
肯定没有问题。

使用特权

评论回复
6
GoldSunMonkey| | 2012-10-27 22:48 | 只看该作者
FPGA 是硬件编程器件,器寄存器的长度理论上没有限制,受限与硬件容量,以及对寄存器的时钟速率要求。比如要实现一个256位的串并转换。只需如下编程即可:
    din :  in   std_logic_vector(255 downto 0);
    dou ...
huofeijingfe 发表于 2012-10-24 17:02
理论上没有任何问题。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

10

主题

128

帖子

0

粉丝