打印

STM32F207外扩SRAM,地址出错

[复制链接]
1327|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
stonezh|  楼主 | 2012-10-25 16:27 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
在外扩SRAM中,发现当往SRAM(16bit)中写数据时,数据写入总是从定义地址+0X2开始写入SRAM.
例如:write_sram(0x64000000,0x55);//往地址0x64000000中写数据0x55;
发现实际写入的地址是0x64000002;

同样如果驱动8bitSRAM,也会出现这种情况。
例如:write_sram(0x64004000,0x55);//往地址0x64000000中写数据0x55;
发现实际写入的地址是0x64004001;

请问这是为什么呢?
沙发
gaoyang9992006| | 2012-10-26 11:11 | 只看该作者
我就发现个规律,你16BIT时候是+2,8BIT时候是+1,你可以写个x-BIT/8,呵呵,就解决了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

22

主题

24

帖子

1

粉丝